数电实验报告模板
数电实验报告触发器及其应用(共10篇)
数电实验报告触发器及其应用(共10篇)1、实验目的:掌握触发器的原理和使用方法,学会利用触发器进行计数、存储等应用。
2、实验原理:触发器是一种多稳态数字电路,具有存储、计数、分频、时序控制等功能。
常见的触发器有RS触发器、D触发器、T触发器、JK触发器等。
RS触发器是由两个交叉互连的反相器组成的,它具有两个输入端R(复位)和S(置位),一个输出端Q。
当输入R=1,S=0时,Q=0;当输入R=0,S=1时,Q=1;当R=S=1时,无法确定Q的状态,称为禁态。
JK触发器是将RS触发器的两个输入端合并在一起而成,即J=S,K=R,当J=1,K=0时,Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q反转。
JK触发器具有启动、停止、颠倒相位等功能。
D触发器是由单个输入端D、输出端Q和时钟脉冲输入端组成的,当时钟信号上升沿出现时,D触发器的状态发生改变,如果D=1,Q=1;如果D=0,Q=0。
T触发器只有一个输入端T和一个输出端Q,在每个时钟脉冲到来时,T触发器执行T→Q操作,即若T=1,则Q取反;若T=0,则Q保持不变。
触发器可以组成计数器、分频器、存储器、状态机等各种数字电路,被广泛用于计算机、控制系统等领域。
3、实验器材:数码万用表、示波器、逻辑分析仪、CD4013B触发器芯片、几个电阻、电容、开关、信号发生器等。
4、实验内容:4.1 RS触发器测试利用CD4013B芯片来测试RS触发器的功能,在实验中将RS触发器的输入端分别接入CD4013B芯片的端子,用示波器观察输出端的波形变化,并记录下输入输出关系表格,来验证RS触发器的工作原理。
具体实验步骤如下:将CD4013B芯片的端子按如下接线方式连接:RST1,2脚接入+5V电源,C1个100nF的电容与单位时间5 ns的外部时钟信号交替输入接口CLK,以模拟器件为master时,向器件提供单个时钟脉冲。
测试时选择适宜的数据输入,R1和S2另一端程+5V,S1和R2另一端连接接地GND,用万用表测量各端电压,电容缓存的电压。
数电实验报告
一,实验结果分析实验一:Quartus II 原理图输入法设计(2)实验名称:设计实现全加器实验任务要求:用实验内容(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真并验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。
原理图:仿真波形图:仿真波形图分析:输入a,b代表加数与被加数,输入c代表低位向本位的进位。
输出s代表本位和,输出co代表向高位的进位。
可得真值表为:实验三:用VHDL设计与实现时序逻辑电路(3)实验名称:连接8421计数器,分频器和数码管译码器实验任务要求:用VHDL语言设计实现一个带异步复位的8421码十进制计数器,分频器的分频系数为25k,并用数码管显示数字。
VHDL代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity div isport(clk1 : in std_logic;clk_out : out std_logic);end;architecture d of div issignal cnt : integer range 0 to 12499999;signal clk_tmp : std_logic;beginprocess(clk1)beginif (clk1'event and clk1='1') thenif cnt=12499999 thencnt<=0;clk_tmp<= not clk_tmp;elsecnt<=cnt+1;end if;end if;end process;clk_out<=clk_tmp;end;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count10 ISPORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END count10;ARCHITECTURE count OF count10 ISSIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk2,clear2)BEGINIF clear2='1' THEN q_temp<="0000";ELSIF (clk2'event AND clk2='1') THENIF q_temp="1001" THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END count;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY seg7 ISPORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END seg7;ARCHITECTURE show OF seg7 ISBEGINPROCESS(a)BEGINCASE a ISWHEN"0000"=>b<="1111110";WHEN"0001"=>b<="0110000";WHEN"0010"=>b<="1101101";WHEN"0011"=>b<="1111001";WHEN"0100"=>b<="0110011";WHEN"0101"=>b<="1011011";WHEN"0110"=>b<="1011111";WHEN"0111"=>b<="1110000";WHEN"1000"=>b<="1111111";WHEN"1001"=>b<="1111011";WHEN OTHERS=>B<="0000000";END CASE;END PROCESS;cat1<="111011";END show;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jishuqi8421 isport(clk,clear:IN STD_LOGIC;cout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end jishuqi8421;architecture ji of jishuqi8421 iscomponent div25mport(clk1 : in std_logic;clk_out : out std_logic);end component;component count10PORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); end component;component seg7PORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end component;signal c:std_logic;signal d:std_logic_vector(3 downto 0);beginu1:div port map(clk1=>clk,clk_out=>c);u2:count10 port map(clk2=>c,clear2=>clear,q=>d); u3:seg7 port map(a=>d,b=>cout,cat1=>cat);end ji;仿真波形图:(由于实际使用的50000000分频不方便仿真,仿真时使用12分频)仿真波形图分析:每隔12个时钟信号计数器的值会增加1,直到计数器的值为9时,再次返回0计数。
数字电子技术 实验报告
实验一组合逻辑电路设计与分析1.实验目的(1)学会组合逻辑电路的特点;(2)利用逻辑转换仪对组合逻辑电路进行分析与设计。
2.实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。
根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。
图1-1 组合逻辑电路的分析步骤根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进行设计。
图1-2 组合逻辑电路的设计步骤3.实验电路及步骤(1)利用逻辑转换仪对已知逻辑电路进行分析。
a.按图1-3所示连接电路。
b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出简化表达式后,得到如图1-4所示结果。
观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。
因此这是一个四位输入信号的奇偶校验电路。
图1-4 经分析得到的真值表和表达式(2)根据要求利用逻辑转换仪进行逻辑电路的设计。
a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾探测器。
为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。
b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。
因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。
图1-5 经分析得到的真值表(3)在逻辑转换仪面板上单击由真值表到处简化表达式的按钮后得到最简化表达式AC+AB+BC。
4.实验心得通过本次实验的学习,我们复习了数电课本关于组合逻辑电路分析与设计的相关知识,掌握了逻辑转换仪的功能及其使用方法。
数电实验报告1-数电实验报告实验一
实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。
2、熟悉数字电路学习机及示波器使用方法。
二、实验仪器及材料1、双踪示波器2、器件74LS00 二输入端四与非门 2片74LS20 四输入端双与非门 1片74LS86 二输入端四异或门 1片74LS04 六反相器 1片三、预习要求1、复习门电路工作原理相应逻辑表达示。
2、熟悉所有集成电路的引线位置及各引线用途。
3、了解双踪示波器使用方法。
四、实验内容实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。
线接好后经实验指导教师检查无误方可通电。
试验中改动接线须先断开电源,接好线后在通电实验。
1、测试门电路逻辑功能。
(1)选用双输入与非门74LS20一只,插入面包板,按图连接电路,输入端接S1~S4(电平开关输入插口),输出端接电平显示发光二极管(D1~D8任意一个)。
(2)将电平开关按表1.1置位,分别测出电压及逻辑状态。
(表1.1)2、异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。
(2)将电平开关按表1.2置位,将结果填入表中。
表 1.23、逻辑电路的逻辑关系(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。
将输入输出逻辑关系分别填入表1.3﹑表1.4。
(2)写出上面两个电路的逻辑表达式。
表1.3 Y=A ⊕B表1.4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd 值 : tp d=0.2μs/6=1/30μs 5、利用与非门控制输出。
选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S 对输出脉冲的控制作用:一端接高有效的脉冲信号,另一端接控制信号。
数电实验实验报告
数电实验实验报告实验报告一、实验目的1.掌握数字电路中多选1选择器的工作原理及应用;2.了解多选1选择器的逻辑功能实现。
二、实验原理多选1选择器是数字电路中常用的组合逻辑电路之一,具有多个输入端和一个输出端。
根据选通输入信号的不同,将其中一个输入端的信号传递到输出端,实现多选1的功能。
多选1选择器的逻辑电路图如下:```_______-,A,--,______,-,______-,,-,_______,_______-,ALTER1,--,______,ALTER-,______-,ALTER,-,_______,ALTER```多选1选择器的逻辑功能可以通过逻辑表达式描述,如下所示:输出Y = Selelect * (A * 2^0 + B * 2^1 + C * 2^2 + D * 2^3)其中,Select为选通信号,A、B、C、D为输入信号,Y为输出信号。
三、实验材料与器件1.示波器2.电源3.多选1选择器4.开关四、实验步骤与结果1.按照电路原理图连接实验电路;2.打开电源,调节电压使其稳定在合适值范围内;3.分别给A、B、C、D四个信号输入端提供电压信号;4.通过改变选通输入信号的值,观察输出信号Y的变化;5.分别调整各个信号的输入值,记录对应的输出信号Y的值;6.将记录的结果进行整理,并绘制逻辑表达式和真值表。
五、实验结果分析通过实验观察和记录的数值,可以看出当选通信号为0时,无论输入信号A、B、C、D的取值为多少,输出信号Y均为0。
当选通信号为1时,输出信号Y的取值与输入信号A、B、C、D的取值有关,根据逻辑表达式Y = Sel * (A * 2^0 + B * 2^1 + C * 2^2 + D * 2^3) 可得到正确的结果。
因此,实验结果验证了多选1选择器的逻辑功能。
六、实验总结通过本次实验,我们掌握了多选1选择器的工作原理及应用,并了解了多选1选择器的逻辑功能实现。
在实验中,我们通过观察和记录不同输入信号下输出信号的变化,验证了多选1选择器的逻辑功能。
数电实验报告【武大电气】
数字电路实验报告专业:电气工程与自动化实验一:组合逻辑电路分析一.实验目的1.熟悉大体逻辑电路的特点。
2.熟悉各类门的实物元件和元件的利用和线路连接。
3.学会分析电路功能.二.实验原理1.利用单刀双掷开关的双接点,别离连接高电平和低电平,开关的掷点不同,门电路输入的电平也不同。
2.门电路的输出端连接逻辑指示灯,灯亮则输出为高电平,灯灭则输出低电平。
3.依次通过门电路的输入电平与输出电平,分析门电路的逻辑关系和实现的逻辑功能。
三.实验元件1.74LS00D2.74LS20D四.实验内容(1)实验内容一:a.实验电路图:由上述实验电路图接线,在开关A B C D选择不同组合的高低电平时,通过对灯X1亮暗的观察,可得出上图的逻辑真值表。
b、逻辑电路真值表:实验分析:•=AB+CD ,一样,由真值表也能推出此由实验逻辑电路图可知:输出X1=AB CD方程,说明此逻辑电路具有与或功能。
(2)实验内容2:密码锁a.实验电路图:D 接着通过实验,改变A B C D 的电平,观察灯泡亮暗,得出真值表如下: b.真值表:实验分析:由真值表(表)可知:当ABCD为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。
由此可见,该密码锁的密码ABCD为1001.因此,可以取得:X1=ABCD,X2=1X。
五.实验体会:1. 这次实验应该说是比较简单,只用到了两种不同的与非门组成一些大体的逻辑电路。
2. 分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的彼此转换已抵达实验所要求的目的结果。
3. 咱们组在这次实验进程中出现过连线正确但没出现相应的实验结果的情况。
后经分析发现由于实验器材利用的次数较多,有些器材有所损坏,如一些导线表面是好的,其实内部损坏,因此意识到了连接线路时一是要注意器材的选取,二是在接线前必然注意检查各元件的好坏。
实验二:组合逻辑实验(一)半加器和全加器一.实验目的:熟悉几种元器件所带的门电路,掌握用这些门电路设计一些简单的逻辑组合电路的方式。
《数字电路》实验报告
《数字电路》实验报告项目一逻辑状态测试笔的制作一、项目描述本项目制作的逻辑状态测试笔,由集成门电路芯片74HC00、发光二极管、电阻等元器件组成,项目相关知识点有:基本逻辑运算、基本门电路、集成逻辑门电路等;技能训练有:集成逻辑二、项目要求用集成门电路74HC00制作简易逻辑状态测试笔。
要求测试逻辑高电平时,红色发光二极管亮,测试逻辑低电平时绿色发光二极管亮。
三、原理框图四、主要部分的实现方案当测试探针A测得高电平时,VD1导通,三级管V发射级输出高电平,经G1反相后,输出低电平,发光二级管LED1导通发红光。
又因VD2截止,相当于G1输入端开路,呈高电平,输出低电平,G3输出高电平,绿色发光二级管LED2截止而不发光。
五、实验过程中遇到的问题及解决方法(1)LED灯不能亮:检查硬件电路有无接错;LED有无接反;LED有无烧坏。
(2)不能产生中断或中断效果:检查硬件电路有无接错;程序中有无中断入口或中断子程序。
(3)输入电压没有反应:数据原理图有没有连接正确,检查显示部分电路有无接错;4011逻辑门的输入端有无浮空。
六、心得体会第一次做的数字逻辑试验是逻辑状态测试笔,那时什么都还不太了解,听老师讲解完了之后也还不知道从何下手,看到前面的人都起先着手做了,心里很焦急可就是毫无头绪。
老师说要复制一些文件协助我们做试验(例如:试验报告模板、试验操作步骤、引脚等与试验有关的文件),还让我们先画原理图。
这时,关于试验要做什么心里才有了一个模糊的框架。
看到别人在拷贝文件自己又没有U盘只好等着借别人的用,当然在等的时候我也画完了逻辑测试笔的实操图。
后面几次都没有过,但最后真的发觉试验的次数多了,娴熟了,知道自己要做的是什么,明确了目标,了解了方向,其实也没有想象中那么困难。
七、元器件一逻辑状态测试笔电路八、附实物图项目二多数表决器电路设计与制作一、项目描述本项目是以组合逻辑电路的设计方法,用基本门电路的组合来完成具有多数表决功能的电路。
数电实验报告模板_2
交通灯逻辑控制电路设计一、绪论1.意义交通的发达,标志着城市的发达,相对交通的管理则显得越来越重要。
对于复杂的城市交通系统,为了确保安全,保证正常的交通秩序,十字路口的信号控制必需按照一定的规律变化,以便于车辆行人能顺利地通过十字路口。
交通灯在安全行车过程中起着十分重要的作用, 现在交通灯一般设在十字路口, 在醒目位置用红、绿、黄三种颜色的指示灯, 加上一个倒计时的显示计时器来控制行车, 对于一般情况下的安全行车、车辆分流发挥着作用。
2.目的(1)熟悉集成电路的引脚安排。
(2)掌握各芯片的逻辑功能及使用方法。
(3)了解面包板结构及其接线方法。
(4)了解数字交通灯控制电路的组成及工作原理。
3.指标要求(1)满足图1顺序工作流程。
图中设南北方向的红、黄、绿灯分别为NSR 、NSY 、NSG ,东西方向的红、黄、绿灯分别为EWR 、EWY 、EWG 。
它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。
(2)应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。
时序工作流程图2所示。
图2中,假设每个单位时间为4秒,则南北、东西方向绿、黄、红灯亮时间分别20秒、4秒、24秒,一次循环为48秒。
其中红灯亮的时间为绿灯、黄灯亮的时间之和。
图1 交通灯顺序工作流程图 图2 交通灯时序工作流程图(3)十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。
具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减1计数方式方式工作,直至减到数1 2 3 4 5 6 7 10 11 12 1 2 3 4NS t为“0”,十字路口红、绿灯交换,一次工作循环结束,进入下一步某方向地工作循环。
例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为18,并使数显计数器开始减“1”计数,当减到绿灯灭而黄灯亮时,数显的值应为3,当减到“0”,时,此时黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯亮,并置东西方向的数显为18。
2011-2012数电实验报告样本
实验一、组合逻辑电路的设计与制作一、实验目的:1、学会根据给定要求设计逻辑电路;2、掌握查找、选择所需器件,或根据现有器件进行设计;3、掌握按设计图纸在实验板上连接电路,排除故障,测试性能; 二、实验器材:电子实验台、74LS00×2、连接线若干 三、实验内容1、用74LS00制作一个二输入异或门电路: (1)实验设计:根据题意得真值表(表2-1):BA B A B A B A Y •=+=(2)电路设计:图2-1 74LS00组成二输入异或门电路图(3)实验数据(表2-2):2、用74LS00制作一个二输入同或门电路:(1)实验设计:根据题意得真值表(表2-3):AY•=+=BABBAAB(2)电路设计:图2-2 74LS00组成二输入同或门电路图(3)实验数据(表2-4):3、用74LS00设计制作一个三人表决电路,两人或两人以上赞成则通过: (1)实验设计:设同意的为1,不同意的为0,通过为1,不通过为0,则根据题意可得以下真值表(表2-5):ABAC BC AB AC BC AB AC BC ABC C AB C B A BC A Y ••=••=++=+++=(2)电路设计:图2-3 74LS00组成三表决电路(3)实验数据(表2-6):4、用74LS00A、B、C三个电源,共同向某一重要负载供电,在正常情况下,至少有两个电源处在正常状态。
否则发出报警信号(报警时,F=1,灯亮)。
(1)实验设计:设电源正常为1,不正常为0,由题意可得以下真值表(表2-7):•=F•ACBCAB(2)电路设计:图2-4 供电系统检测控制逻辑电路图(3)实验数据(表2-8):5、用74LS00、C ,输出为Fa 、Fb 、Fc 。
A=1,表示A 有请求;Fa=1表示能够为A 服务。
同样B=1表示B 有请求;Fb=1,表示能够为B 服务……。
A 、B 、C 的排队顺序是:A=1,最高优先级;B=1,次优先级;C=1,普通优先级。
数电实验报告(含实验内容)
数电实验报告(含实验内容)班级:专业:姓名:学号:实验一用与非门构成逻辑电路一、实验目的1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能二、实验设备及器材KHD-2 实验台集成 4 输入2 与非门74LS20集成 2 输入4 与非门74LS00 或CC4011三、实验原理本实验用的逻辑图如图 2-1 所示图1-1图1-1四、实验内容及步骤1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。
2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。
3、用与非门实现以下逻辑函数式,测试其逻辑功能,将结果填入表1-3中。
Y(A,B,C)=A’B+B’C+AC班级:专业:姓名:学号:五、实验预习要求1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。
六、实验报告1、将实验数据整理后填入相关的表格中2、分别说明各逻辑电路图所实现的逻辑功能A B C Z A B C Y表1-1 表1-2A B C Y 表1-3班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试一、实验目的1、掌握组合逻辑电路的设计与测试方法2、进一步熟悉常用集成门电路的逻辑功能及使用二、实验设备及器材KHD-2 实验台4 输入2 与非门74LS202 输入4 与非门74LS00 或CC4011三、实验原理使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。
设计组合电路的一般步骤如图2-1 所示。
图 2-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表。
然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。
并按实际选用逻辑门的类型修改逻辑表达式。
根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。
数电实验实验报告
dry实验一组合逻辑电路分析一.试验用集成电路引脚图74LS00集成电路74LS20集成电路四2输入与非门双4输入与非门二.实验内容1.实验一X12.5 VABCDU1A74LS00NU2AU3A74LS00N逻辑指示灯:灯亮表示“1”,灯灭表示“0”ABCD按逻辑开关,“1”表示高电平,“0”表示低电平自拟表格并记录:A B C D Y A B C D Y0 0 0 0 0 1 0 0 0 00 0 0 1 0 1 0 0 1 00 0 1 0 0 1 0 1 0 00 0 1 1 1 1 0 1 1 10 1 0 0 0 1 1 0 0 10 1 0 1 0 1 1 0 1 10 1 1 0 0 1 1 1 0 10 1 1 1 1 1 1 1 1 12.实验二密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。
否则,报警信号为“1”,则接通警铃。
试分析密码锁的密码ABCD是什么?ABCDABCD接逻辑电平开关。
最简表达式为:X1=AB’C’D 密码为:1001三.实验体会:1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。
2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。
实验二组合逻辑实验(一)半加器和全加器一.实验目的1.熟悉用门电路设计组合电路的原理和方法步骤二.预习内容1.复习用门电路设计组合逻辑电路的原理和方法步骤。
2.复习二进制数的运算。
3.用“与非门”设计半加器的逻辑图。
4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。
5.完成用“异或”门设计的3变量判奇电路的原理图。
三.元件参考依次为74LS283、74LS00、74LS51、74LS136其中74LS51:Y=(AB+CD)’,74LS136:Y=A⊕B(OC门)四.实验内容1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟)U1NOR2NOR2U3NOR2U4NOR2U5NOR2SC半加器U1A74LS136DU1B74LS136DU2C74LS00DR11kΩR21kΩVCC5VU3A74LS51D81121391011J1Key = AJ2Key = BJ3Key = CSi2.5 VCi2.5 V被加数A i0 1 0 1 0 1 0 12.用异或门设计3变量判奇电路,要求变量中1的个数为奇数是,输出为1,否则为0.3.“74LS283”全加器逻辑功能测试五.实验体会:1.通过这次实验,掌握了熟悉半加器与全加器的逻辑功能2.这次实验的逻辑电路图比较复杂,涉及了异或门、与或非门、与非门三种逻辑门,在接线时应注意不要接错。
数电项目实验报告(3篇)
第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。
2. 掌握常用数字电路的分析方法。
3. 培养动手能力和实验技能。
4. 提高对数字电路应用的认识。
二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。
本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。
四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。
(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。
(3)分析输出波形,验证逻辑门电路的正确性。
2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。
(3)分析输出波形,验证触发器电路的正确性。
3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。
(3)分析输出波形,验证计数器电路的正确性。
4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。
(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。
(3)分析输出波形,验证寄存器电路的正确性。
五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。
实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。
2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。
实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。
3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。
实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。
(完整word版)数电实验实验报告(Quartus)数码管循环显示
实验101、结果:同时显示012345代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sweep ISPORT(clk,clr:IN STD_LOGIC; --clk输入时钟;clr状态清零a:OUT STD_LOGIC_VECTOR (6 DOWNTO 0); --输出数码管相同段sweep:OUT STD_LOGIC_VECTOR (5 DOWNTO 0) --输出cat(数码管选通控制信号)端);END sweep;ARCHITECTURE sweep_arch OF sweep ISSIGNAL sweep_arc:STD_LOGIC_VECTOR (5 DOWNTO 0); --声明内部信号(选通控制信号)SIGNAL b:STD_LOGIC_VECTOR (6 DOWNTO 0); --声明内部信号(相同段信号)BEGINPROCESS(sweep_arc)BEGINIF (clk'event and clk='1') THENIF clr='0' THEN --状态清零sweep_arc <="011111" ; b <="0000000";ELSEcase sweep_arc IS --选通控制信号WHEN"011111" => sweep_arc <="111110";WHEN"111110" => sweep_arc <="111101";WHEN"111101" => sweep_arc <="111011";WHEN"111011" => sweep_arc <="110111";WHEN"110111" => sweep_arc <="101111";WHEN"101111" => sweep_arc <="011111";WHEN OTHERS => sweep_arc <="011111";END CASE;CASE sweep_arc IS --相同段信号WHEN"011111" => b <="1011011";WHEN"101111" => b <="0110011";WHEN"110111" => b <="1111001";WHEN"111011" => b <="1101101";WHEN"111101" => b <="0110000";WHEN"111110" => b <="1111110";WHEN OTHERS => b <="0000000";END CASE;END IF;END IF;sweep<=sweep_arc;a<=b;END PROCESS;END;仿真:管脚:2、(1)结果:循环显示:012345—123450—234501—345012—450123—501234—012345代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY sweep2 ISPORT(clk:IN STD_LOGIC; --clk输入时钟sweep2:OUT STD_LOGIC_VECTOR(5 DOWNTO 0); --输出数码管相同段a:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) --输出cat(数码管选通控制信号)端);END sweep2;ARCHITECTURE arc_sweep2 OF sweep2 ISSIGNAL number:INTEGER RANGE 0 TO 6; --状态声明(6种状态,7种状态值)SIGNAL n:INTEGER RANGE 0 TO 6; --声明数码管(6个管,7个值)SIGNAL flag:INTEGER RANGE 0 TO 50; --同一状态运行次数BEGINPROCESS(clk)BEGINIF (clk'event AND clk='1') THENIF n>5 THENn<=0;ELSE n<=n+1;flag<=flag+1;END IF;IF flag>20 THENflag<=0;number<=number+1;IF number>5 THENnumber<=0;END IF;CASE number ISWHEN 0=> --状态0,输出012345CASE n ISWHEN 0=>sweep2<="011111"; a<="1111110";WHEN 1=>sweep2<="101111"; a<="0110000";WHEN 2=>sweep2<="110111"; a<="1101101";WHEN 3=>sweep2<="111011"; a<="1111001";WHEN 4=>sweep2<="111101"; a<="0110011";WHEN 5=>sweep2<="111110"; a<="1011011";WHEN OTHERS=>sweep2<="111111";END CASE;WHEN 1=> ----状态1,输出123450 CASE n ISWHEN 0=>sweep2<="011111"; a<="0110000";WHEN 1=>sweep2<="101111"; a<="1101101";WHEN 2=>sweep2<="110111"; a<="1101101";WHEN 3=>sweep2<="111011"; a<="1111001";WHEN 4=>sweep2<="111101"; a<="0110011";WHEN 5=>sweep2<="111110"; a<="1111110";WHEN OTHERS=>sweep2<="111111";WHEN 2=> --状态2,输出234501 CASE n ISWHEN 0=>sweep2<="011111"; a<="1101101";WHEN 1=>sweep2<="101111"; a<="1111001";WHEN 2=>sweep2<="110111"; a<="0110011";WHEN 3=>sweep2<="111011"; a<="1011011";WHEN 4=>sweep2<="111101"; a<="1111110";WHEN 5=>sweep2<="111110"; a<="0110000";WHEN OTHERS=>sweep2<="111111";END CASE;WHEN 3=> --状态3,输出345012 CASE n ISWHEN 0=>sweep2<="011111"; a<="1111001";WHEN 1=>sweep2<="101111"; a<="0110011";WHEN 2=>sweep2<="110111"; a<="1011011";WHEN 3=>sweep2<="111011"; a<="1111110";WHEN 4=>sweep2<="111101"; a<="0110000";WHEN 5=>sweep2<="111110"; a<="1101101";WHEN OTHERS=>sweep2<="111111";END CASE;WHEN 4=> --状态4,输出450123 CASE n ISWHEN 0=>sweep2<="011111"; a<="0110011";WHEN 1=>sweep2<="101111"; a<="1011011";WHEN 2=>sweep2<="110111"; a<="1111110";WHEN 3=>sweep2<="111011"; a<="0110000";WHEN 4=>sweep2<="111101"; a<="1101101";WHEN 5=>sweep2<="111110"; a<="1111001";WHEN OTHERS=>sweep2<="111111";END CASE;WHEN 5=> --状态5,输出501234CASE n ISWHEN 0=>sweep2<="011111"; a<="1011011";WHEN 1=>sweep2<="101111"; a<="1111110";WHEN 2=>sweep2<="110111"; a<="0110000";WHEN 3=>sweep2<="111011"; a<="1101101";WHEN 4=>sweep2<="111101"; a<="1111001";WHEN 5=>sweep2<="111110"; a<="0110011";WHEN OTHERS=>sweep2<="111111";END CASE;WHEN OTHERS=>sweep2<="111111";END CASE;END IF;END PROCESS;END arc_sweep2;仿真:仿真时将循环次数改为1方便观察波形,共6种状态管脚:2、(2)结果:循环显示:012345—12345X—2345XX—345XXX—45XXXX —5XXXXX—XXXXXX—XXXXX0—XXXX01—XXX012—XX0123—X01234—012345代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY sweep3 ISPORT(clk:IN STD_LOGIC; --clk输入时钟sweep3:OUT STD_LOGIC_VECTOR(5 DOWNTO 0); --输出数码管相同段a:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) --输出cat(数码管选通控制信号)端);END sweep3;ARCHITECTURE arc_sweep3 OF sweep3 ISSIGNAL number:INTEGER RANGE 0 TO 12; --状态声明(12种状态,13种状态值)SIGNAL n:INTEGER RANGE 0 TO 6; --声明数码管(6个管,7个值)SIGNAL flag:INTEGER RANGE 0 TO 50; --同一状态运行次数BEGINPROCESS(clk)BEGINIF clk'event AND clk='1' THENIF n>5 THENn<=0;ELSE n<=n+1;flag<=flag+1;END IF;IF flag>20 THENflag<=0;number<=number+1;END IF;IF number>11 THENnumber<=0;END IF;CASE number ISWHEN 0=> --状态0,输出012345 CASE n ISWHEN 0=>sweep3<="011111"; a<="1111110";WHEN 1=>sweep3<="101111"; a<="0110000";WHEN 2=>sweep3<="110111"; a<="1101101";WHEN 3=>sweep3<="111011"; a<="1111001";WHEN 4=>sweep3<="111101"; a<="0110011";WHEN 5=>sweep3<="111110"; a<="1011011";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 1=> --状态1,输出12345X CASE n ISWHEN 0=>sweep3<="011111"; a<="0110000";WHEN 1=>sweep3<="101111"; a<="1101101";WHEN 2=>sweep3<="110111"; a<="1101101";WHEN 3=>sweep3<="111011"; a<="1111001";WHEN 4=>sweep3<="111101"; a<="0110011";WHEN 5=>sweep3<="111111"; a<="1111110";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 2=> --状态2,输出2345XX CASE n ISWHEN 0=>sweep3<="011111"; a<="1101101";WHEN 2=>sweep3<="110111"; a<="0110011";WHEN 3=>sweep3<="111011"; a<="1011011";WHEN 4=>sweep3<="111111"; a<="1111110";WHEN 5=>sweep3<="111111"; a<="0110000";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 3=> --状态3,输出345XXX CASE n ISWHEN 0=>sweep3<="011111"; a<="1111001";WHEN 1=>sweep3<="101111"; a<="0110011";WHEN 2=>sweep3<="110111"; a<="1011011";WHEN 3=>sweep3<="111111"; a<="1111110";WHEN 4=>sweep3<="111111"; a<="0110000";WHEN 5=>sweep3<="111111"; a<="1101101";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 4=> --状态4,输出45XXXX CASE n ISWHEN 0=>sweep3<="011111"; a<="0110011";WHEN 1=>sweep3<="101111"; a<="1011011";WHEN 2=>sweep3<="111111"; a<="1111110";WHEN 3=>sweep3<="111111"; a<="0110000";WHEN 4=>sweep3<="111111"; a<="1101101";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 5=> --状态5,输出5XXXXX CASE n ISWHEN 0=>sweep3<="011111"; a<="1011011";WHEN 1=>sweep3<="111111"; a<="1111110";WHEN 2=>sweep3<="111111"; a<="0110000";WHEN 3=>sweep3<="111111"; a<="1101101";WHEN 4=>sweep3<="111111"; a<="1111001";WHEN 5=>sweep3<="111111"; a<="0110011";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 6=> --状态6,输出XXXXXX CASE n ISWHEN 0=>sweep3<="111111"; a<="1111110";WHEN 1=>sweep3<="111111"; a<="0110000";WHEN 2=>sweep3<="111111"; a<="1101101";WHEN 3=>sweep3<="111111"; a<="1111001";WHEN 4=>sweep3<="111111"; a<="0110011";WHEN 5=>sweep3<="111111"; a<="1011011";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 7=> --状态7,输出XXXXX0WHEN 1=>sweep3<="111111"; a<="1101101";WHEN 2=>sweep3<="111111"; a<="1101101";WHEN 3=>sweep3<="111111"; a<="1111001";WHEN 4=>sweep3<="111111"; a<="0110011";WHEN 5=>sweep3<="111110"; a<="1111110";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 8=> --状态8,输出XXXX01 CASE n ISWHEN 0=>sweep3<="111111"; a<="1101101";WHEN 1=>sweep3<="111111"; a<="1111001";WHEN 2=>sweep3<="111111"; a<="0110011";WHEN 3=>sweep3<="111111"; a<="1011011";WHEN 4=>sweep3<="111101"; a<="1111110";WHEN 5=>sweep3<="111110"; a<="0110000";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 9=> --状态9,输出XXX012 CASE n ISWHEN 0=>sweep3<="111111"; a<="1111001";WHEN 1=>sweep3<="111111"; a<="0110011";WHEN 2=>sweep3<="111111"; a<="1011011";WHEN 5=>sweep3<="111110"; a<="1101101";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 10=> --状态10,输出XX0123 CASE n ISWHEN 0=>sweep3<="111111"; a<="0110011";WHEN 1=>sweep3<="111111"; a<="1011011";WHEN 2=>sweep3<="110111"; a<="1111110";WHEN 3=>sweep3<="111011"; a<="0110000";WHEN 4=>sweep3<="111101"; a<="1101101";WHEN 5=>sweep3<="111110"; a<="1111001";WHEN OTHERS=>sweep3<="111111";END CASE;WHEN 11=> --状态11,输出X01234 CASE n ISWHEN 0=>sweep3<="111111"; a<="1011011";WHEN 1=>sweep3<="101111"; a<="1111110";WHEN 2=>sweep3<="110111"; a<="0110000";WHEN 3=>sweep3<="111011"; a<="1101101";WHEN 4=>sweep3<="111101"; a<="1111001";WHEN 5=>sweep3<="111110"; a<="0110011";WHEN OTHERS=>sweep3<="111111";WHEN OTHERS=>sweep3<="111111";END CASE;--END IF;END IF;END PROCESS;END arc_sweep3;仿真:仿真时将循环次数改为1方便观察波形,共12种状态管脚:。
数字电路实验实验报告1
数字电路实验实验报告1姓名: 袁平欣学号: 20107990- 1 -实验一逻辑门电路的逻辑功能及测试一(实验目的1(掌握了解TTL系列、CMOS系列外形及逻辑功能。
2(熟悉各种门电路参数的测试方法。
3. 熟悉集成电路的引脚排列,如何在实验箱上接线,接线时应注意什么。
二、实验仪器及材料a)TDS-4数电实验箱、双踪示波器、数字万用表。
b)1)CMOS器件:CC4011 二输入端四与非门 1 片 CC4071 二输入端四或门 1片2)TTL器件:74LS86 二输入端四异或门 1 片 74LS02 二输入端四或非门 1 片74LS00 二输入端四与非门 1片 74ls125 三态门 1片74ls04 反向器材 1片三(实验原理1(本实验所用到的集成电路的引脚功能图见附录。
2(门电路是最基本的逻辑元件,它能实现最基本的逻辑功能,即其输入与输出之间存在一定的逻辑关系。
TTL集成门电路的工作电压为“5V?10%”。
本实验中使用的TTL集成门电路是双列直插型的集成电路,其管脚识别方法:将TTL集成门电路正面(印有集成门电路型号标记)正对自己,有缺口或有圆点的一端置向左方,左下方第一管脚即为管脚“1”,按逆时针方向数,依次为1、2、3、4????????????。
如图1—1所示。
具体的各个管脚的功能可通过查找相关手册得知,本书实验所使用的器件均已提供其功能。
图1—13(图1—2分别为基本门电路各逻辑功能的测试方法。
- 2 -4.图1,3是为了理解TTL逻辑门电路多余端的处理方法。
5.图1,4为三态门逻辑功能测试。
四.实验内容及步骤选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及GND不能连接错。
线连接好后经检查无误方可通电实验。
1. TTL门电路及CMOS门电路的功能测试。
将CMOS或门CC4071,TTL与非门74LS00、和或非门74LS02分别按图1-2连线: 输入端,、,接逻辑开关,输入端,接发光二极管,改变输入状态的高低电平,观察二极管的亮灭,并将输出状态填入表1-1中:表1-1输入输出Y 输出Y输出Y12 3A B CD4071 74LS00 74LS020 0 0 1 10 1 1 1 01 0 1 1 01 1 1 0 0逻辑表达式 Y=A+B Y=A逻辑功能- 3 -2.TTL门电路多余输入端的处理方法:将74LS00和74LS02按图示1-3连线后,A输入端分别接地、高电平、悬空、与B端并接,观察当B端输入信号分别为高、低电平时,相应输出端的状态,并填表1-2. 3.TTL三态门逻辑功能测试:将TTL三态门74ls125和反相器按图1-4连线,输入端A、B、G分别接逻辑开关,输出端接发光二极管,改变控制端G和输入信号A、B的高低电平,观察输出状态,并填表1-3.表1-2输入输出A B 74LS00Y1 74LS02Y2 接地 01 表1-3高电平 0G A B Y 表达式 10 0 1 悬空 00 1 0 11 0 1 A、B并接 01 1 0 1五(总结1. 通过实验分析,说明TTL门电路多余端的处理方法有:CMOS门电路多余端的处理方法有: 2( 说明三态门有特点。
数电实验报告最终版
数字系统设计基础实验报告学院:计算机学院班级:031014班姓名:陈强学号:03101327实验一基本逻辑门电路实验一、实验目的1.掌握TTL与非门,与或非门和异或门输入与输出之间的逻辑关系。
2.熟悉TTL中,小规模集成电路的外形,管脚和使用方法。
二、实验所用器件1.二输入四与非门74LS00 1片2.二输入四或非门74LS02 1片三、实验内容1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。
2.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。
四、实验接线图及实验测试1.测试74LS00逻辑关系结论:一个与非门当两个输入为高电平时输出为低电平,其它输入时输出为高电平。
2.测试74LS86逻辑关系结论:为低电平。
实验二组合逻辑电路部件试验一. 实验目的:1、掌握逻辑电路设计的基本方法;2、掌握EDA软件工具MAX—PlusII的原理图输入方法;3、掌握MAX—PlusII的逻辑电路编译、波形仿真的方法二.实验内容:1、内容:3-8译码器(74LS138)的波形仿真器件:3-8译码器3-8译码器原理图3-8译码器波形图2、设计一个2-4译码器(功能要求见真值表)表中E 为允许使能输入端,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出,∮是任意状态。
2-4译码器原理图2-4译码器波形图3、设计并实现一个4位二进制全加器(1)二进制全加器原理:两个n位二进制数相加的加法运算电路是由一个半加器和(n-1)个全加器组成。
它把两个n位二进制数(A,B)分别作为输入信号。
产生一个(n+1)位二进制数作它的和数(Cn-1,S)。
一个n位二进制加法器的方框图如下图所示。
图中A和B是用来相加的两个n位输入信号,Cn-1,Sn-1,Sn-2,……S2,S1,S0是它们的和数。
在该电路中对A0和B0相加用一个半加器,对其它位都用全加器。
如果需要,串接这些电路以扩充相加的为数,那么它的第一级也必须是全加器。
数电综合实验报告(3篇)
第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。
2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。
3. 通过综合实验,培养团队合作精神和实践操作能力。
二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。
2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。
3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。
三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。
(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。
(3)使用ModelSim软件对加法器进行仿真,验证其功能。
2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。
(2)使用Verilog HDL语言编写代码,实现4位计数器。
(3)使用ModelSim软件对计数器进行仿真,验证其功能。
3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。
(2)使用Verilog HDL语言编写代码,实现数字时钟功能。
(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。
四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。
2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。
3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。
五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。
2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。
3. 培养了团队合作精神和实践操作能力。
六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。
2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。
数字电路实验的实验报告(3篇)
第1篇一、实验目的1. 理解和掌握数字电路的基本原理和组成。
2. 熟悉数字电路实验设备和仪器的基本操作。
3. 培养实际动手能力和解决问题的能力。
4. 提高对数字电路设计和调试的实践能力。
二、实验器材1. 数字电路实验箱一台2. 74LS00若干3. 74LS74若干4. 74LS138若干5. 74LS20若干6. 74LS32若干7. 电阻、电容、二极管等元器件若干8. 万用表、示波器等实验仪器三、实验内容1. 基本门电路实验(1)验证与非门、或非门、异或门等基本逻辑门的功能。
(2)设计简单的组合逻辑电路,如全加器、译码器等。
2. 触发器实验(1)验证D触发器、JK触发器、T触发器等基本触发器的功能。
(2)设计简单的时序逻辑电路,如计数器、分频器等。
3. 组合逻辑电路实验(1)设计一个简单的组合逻辑电路,如4位二进制加法器。
(2)分析电路的输入输出关系,验证电路的正确性。
4. 时序逻辑电路实验(1)设计一个简单的时序逻辑电路,如3位二进制计数器。
(2)分析电路的输入输出关系,验证电路的正确性。
5. 数字电路仿真实验(1)利用Multisim等仿真软件,设计并仿真上述实验电路。
(2)对比实际实验结果和仿真结果,分析误差原因。
四、实验步骤1. 实验前准备(1)熟悉实验内容和要求。
(2)了解实验器材的性能和操作方法。
(3)准备好实验报告所需的表格和图纸。
2. 基本门电路实验(1)搭建与非门、或非门、异或门等基本逻辑电路。
(2)使用万用表测试电路的输入输出关系,验证电路的功能。
(3)记录实验数据,分析实验结果。
3. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发电路。
(2)使用示波器观察触发器的输出波形,验证电路的功能。
(3)记录实验数据,分析实验结果。
4. 组合逻辑电路实验(1)设计4位二进制加法器电路。
(2)搭建电路,使用万用表测试电路的输入输出关系,验证电路的正确性。
(3)记录实验数据,分析实验结果。
【最新】西南交大,数字电路,实验报告-word范文 (8页)
本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除!== 本文为word格式,下载后可方便编辑和修改! ==西南交大,数字电路,实验报告篇一:数字电子技术实验报告数字电子技术实验报告姓名:尚朝武学号:201X0123400044 实验时间:201X-12-24实验一(一) 1、实验内容:(1用静态法测试74LS00与非门电路的逻辑功能 2、实验原理图如图1.113、实验步骤:1) 用万用表测量双路跟踪稳压电源中的+5V电源电压; 2) 检查无误后引用通用接插板;3) 在芯片盒中找到74LS00芯片并插入通用接插板上; 4) 测试与非门的逻辑功能A. 按图1.1接线,检查接线无误后通电;;B. 设置输入变量A、B的高(H)、低(L)电平,并分别测量与非门的输出电压U;(U>3.6V时,则Y=H(1);反之,Y=L(0))。
5)用万用表测量输出电压,并将结果填入表1.1.1中 4、实验结果见表1.1.1表1.1.1(二 1、实验内容用动态测试法验证图(a)、(b)、(c)的输入输出波形。
2、实验原理图图图图(表)d74ls86管脚图和引脚图及真值表3、实验步骤1)利用实验一——(一)的双路跟踪稳压电源中的+5V电源电压; 2)检查无误后引用通用接插板;3)在芯片盒中分别找到74LS86、74LS60芯片并分别插入通用接插板上; 4)分次按图a、b、c、d接线,检查接线无误后通电;设置输入变量A的信号为100kHz 5)分别记下数字显示器显示的波形。
4、实验结果见下图图a的输入(图上)、输出(图下)波形图b的输入(图上)、输出(图下)波形三)图c的输入(图上)、输出(图下)波形1、实验内容:(1用静态法测试74LS139静态译码器的逻辑功能 2、实验原理图如图A、B 3、实验步骤:1) 利用实验一——(一)的双路跟踪稳压电源中的+5V电源电压; 2) 检查无误后引用通用接插板;3) 在芯片盒中找到74LS139芯片并插入通用接插板上; 4) 测试74LS139译码器的逻辑功能a) 按图1.1接线,检查接线无误后通电;;b) 设置输入变量A、B及E的高(H)、低(L)电平,并分别测量74LS139的输出电压U;(U>3.6V时,则Y=H(1);反之,Y=L(0)); 5)用万用表测量输出电压,并将结果填入表1.2中 4、实验结果见表1.2图A 74LS139的管脚图篇二:201X-201X西南交大数字电路第1次作业(注意:若有主观题目,请按照题目,离线完成,完成后纸质上交学习中心,记录成绩。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
河 北 科 技 大 学实 验 报 告级 专业 班 学号 年 月 日姓 名 同组人 指导教师 吕文哲 任文霞 实验名称 实验二 基本门电路逻辑功能的测试 成 绩 实验类型 验证型 批阅教师一、实验目的(1)掌握常用门电路的逻辑功能,熟悉其外形及引脚排列图。
(2)熟悉三态门的逻辑功能及用途。
(3)掌握TTL 、CMOS 电路逻辑功能的测试方法。
二、实验仪器与元器件(1)直流稳压电源 1台 (2)集成电路74LS00 四2输入与非门 1片 74LS86 四2输入异或门 1片 74S64 4-2-3-2输入与或非门 1片 74LS125 四总线缓冲门(TS ) 1片 CD4011 四2输入与非门1片三、实验内容及步骤1.常用集成门电路逻辑功能的测试在数字实验板上找到双列直插式集成芯片74LS00和74LS86。
按图进行连线。
测试各电路的逻辑功能,并将输出结果记入表中。
门电路测试结果2.测试与或非门74S64的逻辑功能在实验板上找到芯片74S64,实现Y AB CD =+的逻辑功能。
Y Y &3.用与非门组成其他逻辑门电路 (1)用与非门组成与门电路按图接线,按表测试电路的逻辑功能。
根据测得的真值表,写出输出Y的逻辑表达式。
真值表逻辑表达式:Y=AB (2)用与非门组成异或门电路按图接线,将测量结果记入表中,并写出输出Y 的逻辑表达式。
真值表逻辑表达式:B A Y ⊕=真值表4.三态门测试(1)三态门逻辑功能测试三态门选用 74LS125将测试结果记入表中。
(2)按图接线。
将测试结果记录表中。
真值表四、思考题1.如果将74S64的6脚、13脚所在与门的各输入端全部接高电平或悬空,那么与或非门还能工作吗?此时输出是什么状态?说明与或非门中多余与门输入端的处理方法。
根据实验过程总结回答此问题2.如何判断三态门的输出状态是0、1状态还是高阻态?当多个三态门的输出端连在一起实现总线结构时,有一个三态门处于工作则其余的三态门应处于什么状态?它们能否同时工作输出数据?根据实验过程总结回答此问题河北科技大学实验报告级专业班学号年月日姓名同组人指导教师吕文哲任文霞实验名称实验三示波器的使用及门电路测试成绩实验类型综合型批阅教师一、实验目的(1)熟悉双踪示波器的面板结构,学习其使用方法。
(2)进一步学习数字实验板的使用方法。
(3)进一步掌握TTL与非门的特性和测试方法。
二、实验仪器与元器件(1)直流稳压电源1台(2)信号发生器1台(3)6502型示波器1台(4)集成电路74LS00 四2输入与非门1片三、实验内容及步骤1.信号发生器的使用信号发生器选择不同的按键,可以产生TTL/CMOS标准电平的数字信号,信号从“数字输出”端引出。
通过改变信号发生器的输出频率,观察发光二极管的变化情况。
当信号的输出频率较高时,需要用示波器来观察。
2.示波器的使用(1)示波器的自检在示波器上读测“校准信号”(方波0.5V、1kHz)电压的峰-峰值、周期和频率,将结果记入表中,并与给定的标准信号值进行比较。
校准信号数据记录2校准信号数据记录1(2)TTL数字信号高、低电平值、幅值及频率的测量先将信号发生器输出的TTL信号频率调为10kHz,再用示波器对其进行测试。
1)高、低电平及幅度值的测量,读出高电平、低电平的电压值,将结果记入表中。
2)频率的测量,使波形在示波器显示两个完整周期,读出波形一个周期所占的格数d,计算周期值T和f。
将结果记入表中。
电压峰-峰值、周期和频率测量数据3.观测与非门对脉冲的控制作用实验电路如图所示,选择74LS00中的一个门按图接线。
当控制端分别为高电平“1”或低电平“0”时,用示波器双踪观测输入信号与输出信号的波形,并将观察到的波形记录下来。
控制端为高电平“1”CH1波形CH2波形控制端为低电平“0” CH1波形CH2波形根据测试结果分析,当控制端为高电平时允许脉冲信号通过,低电平时不允许信号通过。
四、思考题示波器显示波形不稳定(向左或向右移动)时,应调节哪些旋钮使其稳定下来?CH2 1河 北 科 技 大 学实 验 报 告级 专业 班 学号 年 月 日姓 名 同组人 指导教师 吕文哲 任文霞 实验名称 实验五 组合逻辑电路设计 成 绩 实验类型 设计型 批阅教师一、实验目的(1)熟悉组合逻辑电路的设计方法,验证电路的逻辑功能。
(2)熟悉集成电路74LS253和74LS138的使用方法。
(3)培养查阅手册及独立完成设计任务的能力。
二、实验仪器与元器件(1)直流稳压电源 1台 (2)集成电路74LS253 双数据选择器(TS ) 1片 74LS20 双4输入与非门 1片 74LS00 四2输入与非门 1片 74LS138 3-8线译码器1片三、实验任务及要求1.设计一个控制发电机运行的逻辑电路有两个发电机组M和N给三个车间供电,N组的发电能力是M组的两倍。
如果一个车间开工,只需启动M 组既能满足要求;如果两个车间开工,则需启动N 组就可满足要求;如果三个车间同时开工,则需要同时启动M组和N组,才能满足要求。
(1)设A 、B 、C 为输入变量,分别代表三个车间的开工情况,变量为“1”表示开工,变量为“0”表示不开工。
设M 、N 为输出变量,分别代表发电机组的启动情况,“1”代表启动,“0”代表不启动。
(2)真值表 真值表 (3)逻辑表达式写出逻辑表达式的变换过程(4)画出逻辑电路图,测试电路的逻辑功能。
用铅笔和直尺画出逻辑电路图2.设计一个全减器电路全减器电路中,设A i 为被减数,B i 为减数,C i-1为来自低位的借位。
输出为两数之差D i 和向高位的借位C i 。
用74LS138和与非门74LS20实现该电路。
(1)真值表 真值表 (2)逻辑表达式 写出逻辑表达式及相应变换过程(3)画出逻辑电路图,测试电路的逻辑功能。
用铅笔和直尺画出逻辑电路图3.设计一个用三个开关控制一个灯的逻辑电路电路要求任何一个开关都能控制灯的亮灭。
用74LS138和74LS20实现。
测试电路的逻辑功能。
(1)设A 、B 、C 为输入变量,分别代表三个开关,变量为“1”表示开关闭合,变量为“0”表示开关断开。
设Y 为输出变量,代表灯的工作情况,“1”代表灯亮,“0”代表灯不亮。
真值表(2)真值表(3)逻辑表达式 写出逻辑表达式及相应变换过程(4)画出逻辑电路图,测试电路的逻辑功能。
用铅笔和直尺画出逻辑电路图河 北 科 技 大 学实 验 报 告级 专业 班 学号 年 月 日姓 名 同组人 指导教师 吕文哲 任文霞 实验名称 实验六 触发器逻辑功能的测试 成 绩 实验类型 验证型 批阅教师一、实验目的(1)掌握基本RS 触发器、D 触发器和JK 触发器的逻辑功能及测试方法。
(2)掌握触发器之间的功能转换方法。
二、实验仪器与元器件(1)直流稳压电源 1台 (2)6502型示波器 1台 (3)集成电路74LS00 四2输入与非门 1片 74LS74 双D 型上升沿触发器 1片 74LS112 双JK 型下降沿触发器1片五、实验内容及步骤1.由TTL 与非门构成基本RS 触发器电路如图所示,按表测量相应Q 和Q 的结果,分析触发器功能。
基本RS 触发器2.集成D 触发器逻辑功能测试电路如图所示,按表测量相应Q 和Q 的结果,分析触发器功能。
D触发器逻辑功能测试注:×—表示任意状态。
—单次脉冲的上升沿。
—单次脉冲的下降沿。
(4)根据测试结果,写出D触发器的特性方程。
3.集成JK触发器逻辑功能测试电路如图所示,按表测量相应Q和Q的结果,分析触发器功能。
JK触发器逻辑功能测试(4)根据测试结果,写出JK触发器的特性方程。
4.触发器逻辑功能的转换(1)将D触发器转换成T 型触发器电路如图所示,绘出完整的CP、Q和Q的波形。
(2)将JK触发器转换成T触发器电路如图所示,画出完整的CP和Q的波形。
四、思考题说明触发器的异步置位端和异步复位端与其它输入信号的关系。
河北科技大学实验报告级专业班学号年月日姓名同组人指导教师吕文哲任文霞实验名称实验九集成同步计数器的应用电路设计成绩实验类型设计型批阅教师一、实验目的(1)掌握中规模集成同步计数器74LS160的逻辑功能和使用方法。
(2)学习CD4511译码器、共阴数码显示器的使用方法。
二、实验仪器与元器件(1)直流稳压电源1台(2)集成电路74LS00 四2输入与非门1片74LS20 双4输入与非门1片74LS160 4位十进制同步计数器2片CD4511 BCD七段译码/驱动/锁存器2片LED 共阴数码显示器2片三、实验内容及步骤1.74LS160逻辑功能测试表4-9-1 74LS160的逻辑功能表2.74LS160的应用(1)用两片74LS160和门电路74LS00构成24进制计数器(用复位法),显示数字为00-23的循环。
1)并行进位型用铅笔和直尺画出逻辑电路图2)串行进位型用铅笔和直尺画出逻辑电路图(2)用74LS160和74LS20设计一个计数电路(用置数法),要求计数显示为1-7。
用铅笔和直尺画出逻辑电路图河北科技大学实验报告级专业班学号年月日姓名同组人指导教师吕文哲任文霞实验名称实验十一555定时器的应用成绩实验类型综合型批阅教师一、实验目的(1)熟悉555集成定时器的内部结构及工作原理。
(2)掌握用定时器构成多谐振荡电路、单稳态电路和施密特触发电路的工作原理。
(3)进一步学习用示波器测量波形的周期、脉宽和幅值等。
二、实验仪器与元器件(1)直流稳压电源1台(2)信号发生器1台(3)6502型示波器1台(4)集成电路555集成定时器1片(5)阻容元件电阻、电容若干三、实验内容及步骤1.多谐振荡器电路如图所示:v C、v O的波形:多谐振荡器的测量结果2.单稳态触发器电路如图所示:v C及v o的波形:计算值:t w=测量值:t w=。