数电计数器实验报告
数字电路实验报告——计数器
第六次实验报告 实验十 计数器一、 实验目的要求掌握CC40192可予置数同步十进制加/减计数器逻辑功能及测试方法 二、 实验仪器、设备直流稳压电源、电子电路调试器、万用表、CC40192、CC4011、CC4547 三、 实验线路、原理框图 (一) C C40192的逻辑符号、功能表、管脚排列(1) CC40192可予置数同步十进制加/减计数器,它是中规模CMOS 集成电路。
它的逻辑符号如图1所示:图1(2) CC40192的管脚排列如图2所示:图2B C PE 0-+加法进位:0C =+CP Q Q 03即当加法计数器时,当Q 3Q 2Q 1Q 0=1001且+CP 在0(底电平)时,0C 输出负脉冲,向高位进位。
减法进位:0B =-•CP Q Q Q Q 0123即当减法计数时,在Q3Q2Q1Q0=0000且-CP 在0(底电平)时0B 出负脉冲,向高位借位。
(二)CC4011是CMOS 四2输入与非门,它具有4个独立的2输入与非门。
管脚排列图如 图3所示:图3(三)CC4547是七段数码锁存/译码/驱动器,它的管脚排列和逻辑功能与CC4513相同 (1)CC4513的管脚排列如图4所示:图4(2)CC4513的逻辑功能表如下表所示:1A 1B 1Y 2Y 2B 2A V SS的实验线路图如图5所示:图5四、 实验方法步骤1、 按图5接线:清零端R 、置数端PE 、数据输入端D A 、D B 、D C 、D D 分别接逻辑开关,输出端Q A 、Q B 、Q C 、Q D 分别接实验台上译码器(CC4547)对应输入端A 、B 、C 、D ,CP 接单次脉冲源。
本实验采用2输入与非门CC4011。
2、 测试CC40192的逻辑功能 (1) 清除令R=1,其它输入为任意状态,这时Q D Q C Q B Q A =0000,译码显示为0字。
清除功能完成后,置R=0。
(2) 置数令R=0,+CP ,-CP 任意,数据输入端输入任意一组二进制数D A D B D C D D =dcba ,令PE=0,观脉冲察计数器输出dcba 是否已被置入。
数电 计数器 实验报告
数电计数器实验报告
《数电计数器实验报告》
实验目的:通过实验,掌握计数器的工作原理及其应用。
实验仪器:数电实验箱、示波器、计数器芯片、电源等。
实验原理:计数器是一种能够记录输入脉冲信号次数的电子设备,它能够实现数字信号的计数功能。
在实验中,我们将使用计数器芯片来实现二进制计数器的功能,通过观察输出信号的变化来了解计数器的工作原理。
实验步骤:
1. 将计数器芯片连接到数电实验箱上,并接入示波器以观察输出信号。
2. 将电源接通,调节示波器参数,观察计数器的输出波形。
3. 输入不同的脉冲信号,观察计数器的计数变化。
4. 通过改变输入信号的频率和幅度,观察计数器的响应情况。
实验结果:通过实验观察,我们发现计数器能够准确地记录输入脉冲信号的次数,并且能够按照二进制的方式进行计数。
当输入信号的频率增加时,计数器的计数速度也相应增加,而当输入信号停止时,计数器的计数也停止。
实验结论:计数器是一种非常重要的数字电路元件,它在数字系统中具有广泛的应用。
通过本次实验,我们深入了解了计数器的工作原理及其特性,为今后的数字电路设计和应用打下了坚实的基础。
总结:本次实验通过实际操作,让我们对计数器有了更深入的了解,同时也增强了我们对数字电路的理解和应用能力。
希望通过今后的实验和学习,我们能够更加熟练地掌握数字电路的相关知识,为今后的工程实践打下坚实的基础。
数电计数器实验报告
数电计数器实验报告数电计数器实验报告引言:数电计数器是数字电路中常见的一种组合逻辑电路,用于实现对输入信号进行计数的功能。
在本次实验中,我们将通过搭建一个四位二进制计数器的电路,来深入了解计数器的工作原理和应用。
实验目的:1. 熟悉计数器的基本原理和工作方式;2. 掌握计数器的设计与搭建方法;3. 理解计数器在数字系统中的应用。
实验器材:1. 74LS161四位二进制同步计数器芯片;2. 74LS47七段数码管芯片;3. 电路连接线、电源等。
实验步骤:1. 按照电路原理图,连接74LS161计数器芯片和74LS47七段数码管芯片;2. 将74LS161的CLK输入引脚连接到一个可调的方波发生器,用于提供时钟信号;3. 将74LS161的RST引脚连接到一个开关,用于手动复位计数器;4. 将74LS161的QA~QD引脚连接到74LS47的A~D引脚,用于输出计数结果;5. 将74LS47的LT引脚连接到一个LED灯,用于指示计数溢出。
实验原理:计数器是由触发器和逻辑门组成的组合逻辑电路。
在本次实验中,我们使用74LS161芯片作为计数器,它具有四位二进制计数功能。
74LS161芯片内部包含四个D触发器,每个触发器的输出与下一个触发器的时钟输入相连,形成级联的工作方式。
当时钟信号上升沿到来时,触发器会根据输入信号的状态进行状态转移,从而实现计数功能。
实验结果:通过调节方波发生器的频率,我们可以观察到七段数码管上显示的数字不断变化。
当计数器达到最大值时,LED灯会亮起,指示计数溢出。
通过手动复位开关,我们可以将计数器重新复位为0,重新开始计数。
实验分析:1. 在实验过程中,我们发现计数器的工作稳定性较好,能够准确地进行计数;2. 通过改变方波发生器的频率,我们可以调整计数器的计数速度,从而实现不同的计数效果;3. 计数器的应用非常广泛,比如在时钟、计时器、频率分频器等数字系统中都有广泛的应用。
实验总结:通过本次实验,我们深入了解了数电计数器的工作原理和应用。
数电计数器实验报告
数电计数器实验报告数电计数器实验报告引言:数电计数器是数字电路中常见的一种组合逻辑电路,用于计数和记录输入脉冲的次数。
本实验旨在通过搭建一个基本的二进制计数器电路,探究计数器的工作原理,并验证其计数功能的正确性。
实验装置和步骤:实验中所用的装置包括集成电路、数字示波器、电源等。
首先,我们按照电路原理图搭建计数器电路,并连接相应的输入和输出信号线。
然后,我们通过给计数器电路提供时钟信号,观察输出信号的变化情况。
最后,我们通过改变输入信号的频率和幅度,测试计数器的稳定性和可靠性。
实验结果:在实验中,我们观察到计数器电路的输出信号随着时钟信号的输入而变化。
当时钟信号的边沿触发计数器时,计数器按照设定的计数规则进行计数,并输出相应的二进制码。
例如,当计数器为4位二进制计数器时,输入一个时钟脉冲,计数器的输出变化为0001、0010、0011、0100,依次类推。
当计数器达到最大计数值时,会自动归零重新计数。
实验分析:通过实验我们发现,计数器的计数规则是按照二进制码进行计数的。
每一位计数器都有两种状态,0和1,通过时钟信号的输入,计数器的状态会发生变化。
当计数器达到最大计数值时,会自动归零,这是因为计数器的位数是有限的,无法继续计数。
计数器的位数越多,能够计数的范围就越大。
此外,我们还发现计数器的计数速度与输入时钟信号的频率有关。
当时钟信号的频率较高时,计数器的计数速度也会相应增加。
然而,当时钟信号的频率过高时,计数器可能无法跟上时钟信号的输入,导致计数器的计数出错。
因此,在实际应用中,我们需要根据具体的需求来选择合适的计数器和时钟频率。
实验总结:通过本次实验,我们深入了解了数电计数器的工作原理和计数功能。
计数器作为一种常见的组合逻辑电路,广泛应用于各种计数和测量系统中。
在实际应用中,我们需要根据具体的需求选择合适的计数器和时钟频率,以确保计数器的稳定性和可靠性。
未来展望:随着科技的不断发展,计数器的功能和性能也在不断提升。
数电实验报告:计数器及其应用
数电实验报告:计数器及其应用数字电子技术实验报告实验四:计数器及其应用一、实验目的:1、熟悉常用中规模计数器的逻辑功能。
2、掌握二进制计数器和十进制计数器的工作原理和使用方法。
二、实验设备:1、数字电路实验箱;2、74LS90。
三、实验原理:1、计数是一种最简单基本运算,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时具有分频功能。
计数器按计数进制分有:二进制计数器,十进制计数器和任意进制计数器;按计数单元中触发器所接收计数脉冲和翻转顺序分有:异步计数器,同步计数器;按计数功能分有:加法计数器,减法计数器,可逆(双向)计数器等。
2、74LS90是一块二-五-十进制异步计数器,外形为双列直插,NC表示空脚,不接线,它由四个主从JK触发器和一些附加门电路组成,其中一个触发器构成一位二进制计数器;另三个触发器构成异步五进制计数器。
在74LS90计数器电路中,设有专用置“0”端R0(1),R0(2)和置“9”端S9(1)S9(2)。
其中前两个为异步清0端,后两个为异步置9端。
CP1, CP2为两个时钟输入端;Q0 ~Q3为计数输出端。
当R1=R2=S1=S2=0时,时钟从CP1引入,Q0输出为二进制;从CP2引入,Q3输出为五进制。
时钟从CP1引入,二Q0接CP1,则Q3Q2Q1Q0输出为十进制(8421码);时钟从CP2引入,而Q3接CP1,则Q0Q3Q2Q1输出为十进制(5421码)。
四、实验原理图及实验结果:1、实现0~9十进制计数。
1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)2)实验结果:解码器上依次显示0~9十个数字。
2、实现六进制计数。
1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)2)实验结果:解码器上依次显示0~5六个数字。
3、实现0、2、4、6、8、1、3、5、7、9计数。
1)实验原理图如下:(函数信号发生器:5V 3Hz 偏移2.5V方波)2)实验结果:解码器上依次显示0、2、4、6、8、1、3、5、7、9十个数字。
数电计数器实验报告
数电计数器实验报告实验名称:数电计数器实验实验目的:通过实验,了解和掌握数电计数器的原理和工作方式,以及计数器的应用。
实验原理:计数器是一种能够实现数字计数功能的电子元件。
主要由触发器、逻辑门和时钟信号组成。
触发器主要用于储存和传递信号,逻辑门用于控制和处理信号,时钟信号用于控制计数时间。
实验器材:1. 7400四路或五路与门2. 7432四路或五路或六路或七路与非门3. 7474触发器4. 555定时器5. LED灯6. 电源实验步骤:1. 将触发器与逻辑门按照电路图连接,并确保连接正确无误。
2. 将555定时器连接到电路中,并设置合适的时钟频率。
3. 将LED灯连接到电路中,用于显示计数结果。
4. 打开电源,观察LED灯的亮灭情况,并记录计数结果。
5. 可以尝试改变定时器的频率,观察LED灯的计数速度。
实验结果分析:通过实验观察和记录计数结果,可以得出计数器的工作原理和特点。
可以发现,当时钟信号输入时,计数器会根据触发器和逻辑门的控制逻辑实现数字计数功能。
实验结论:1. 数电计数器是一种能够实现数字计数功能的电子元件。
2. 计数器由触发器、逻辑门和时钟信号组成,触发器用于储存和传递信号,逻辑门用于控制和处理信号,时钟信号用于控制计数时间。
3. 数电计数器在实际应用中具有广泛的用途,如计时器、频率计等。
实验中可能遇到的问题和解决方法:1. 连接错误:检查电路连接,确保连接正确无误。
2. LED灯未亮起:检查电路连接,确保连接正确无误。
3. 计数不准确:检查时钟信号的频率,确保设置合适的计数速度。
实验改进思路:1. 尝试使用不同型号的触发器和逻辑门,比较它们的计数效果和特点。
2. 尝试使用其他电子元件,如译码器、多路选择器等,扩展计数器的功能和应用场景。
3. 尝试使用计数器的级联连接,实现更复杂的计数功能和应用。
数电30—60秒计数器实验报告
数电30—60秒计数器实验报告
实验名称:30-60秒计数器
实验目的:了解计数器的工作原理,掌握计数器的使用方法,熟练掌握时序逻辑电路的设计。
实验内容:
1. 使用集成电路构建30-60秒计数器电路。
2. 设计一个带复位功能的计数器。
3. 用示波器和万用表检测输出波形和计数器计数情况。
实验器材:
1. TTL集成电路:7476、74193、7404、7490、7408、7432等
2. 示波器、万用表、电路实验箱、电缆等。
实验原理:
本实验采用TTL集成电路构建30-60秒计数器电路。
其中7476为触发器,负责计数器的存储和输出;74193是4位异步二进制计数器,控制计数器的计数过程;7404、7490、7408和7432为逻辑门,实现计数器计数、输出等。
实验步骤:
1. 按照电路原理图连接电路,并检查电路。
2. 按下复位按钮,计数器清零。
3. 开始实验,观察计数器计数,记录数据。
4. 观察输出波形,用示波器检测波形。
5. 实验结束,关闭电源,撤销电路连接。
实验结果:
1. 实验电路连接正确。
2. 计数器正常计数,能够实现30-60秒的计数功能。
3. 示波器检测到正确的输出波形。
结论:
本实验采用TTL集成电路构建30-60秒计数器电路,能够实现30-60秒的计数功能。
通过实验,掌握了计数器的工作原理和使用方法,提高了时序逻辑电路设计的能力。
数电实验报告计数器
数电实验报告计数器计数器是数字电路中常见的一种电路元件,用于计数和显示数字。
在数电实验中,我们通常会设计和实现各种类型的计数器电路,以探究其工作原理和性能特点。
本文将介绍数电实验中的计数器的设计和实验结果,并探讨其应用和改进。
一、设计和实现在数电实验中,我们通常使用逻辑门和触发器来实现计数器电路。
逻辑门用于控制计数器的输入和输出,而触发器则用于存储和更新计数器的状态。
以4位二进制计数器为例,我们可以使用四个触发器和适当的逻辑门来实现。
触发器的输入端连接到逻辑门的输出端,而逻辑门的输入端连接到触发器的输出端。
通过适当的控制信号,我们可以实现计数器的正向计数、逆向计数、清零和加载等功能。
在实验中,我们需要根据设计要求选择适当的逻辑门和触发器,并将其连接起来。
然后,通过给逻辑门和触发器提供适当的输入信号,我们可以观察计数器的输出结果,并验证其正确性和稳定性。
二、实验结果在实验中,我们设计了一个4位二进制计数器,并通过适当的输入信号进行了测试。
实验结果表明,计数器能够正确地进行正向计数和逆向计数,并能够在达到最大计数值或最小计数值时自动清零。
此外,我们还观察到计数器的输出信号在计数过程中保持稳定,并且能够及时响应输入信号的变化。
这说明计数器具有较高的稳定性和响应速度,适用于各种计数应用场景。
三、应用和改进计数器在数字电路中有广泛的应用,例如频率分频、时序控制、计时器等。
通过适当的设计和连接,我们可以实现各种复杂的计数功能,满足不同的应用需求。
在实验中,我们还可以对计数器进行改进和优化,以提高其性能和功能。
例如,我们可以增加计数器的位数,以扩大计数范围;我们还可以添加输入输出接口,以实现与其他电路元件的连接和通信。
此外,我们还可以使用更高级的计数器电路,如同步计数器、环形计数器等,以实现更复杂的计数功能。
这些改进和扩展将进一步提高计数器的灵活性和实用性。
总结:通过数电实验,我们了解了计数器的设计和实现原理,并验证了其在实际应用中的性能和功能。
数字电路 实验 计数器及其应用 实验报告
实验六计数器及其应用一、实验目的1.学习用集成触发器构成计数器的方法2.掌握同步计数的逻辑功能、测试方法及功能扩展方法3.掌握构成任意进制计数器的方法二、实验设备和器件1.+5V直流电源2.双踪示波器3.连续脉冲源4.单次脉冲源5.逻辑电平开关6.逻辑电平显示器7.译码显示器8.CC4013×2(74LS74)CC40192×3(74LS192)CC4011(74LS00)CC4012(74LS20)三、实验原理计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
计数器计数时所经历的独立状态总数为计数器的模(M)。
计数器按模可分为二进计数器(M=2n)、十进计数器(M=10n)和任意进制计数器(M≠2n、M≠10n)。
按计数脉冲输入方式不同,可分为同步计数和异步计数。
按计数值增减趋势分为:加法计数器、减法计数器和可逆(加/减)计数器。
1.用D触发器构成异步二进制加/减计数器图6-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T 触发器,再由低位触发器的Q端和高一位的CP端相连接。
若将图6-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。
2.中规模十进制计数器、十六进制计数器(1)CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能。
当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。
当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。
当CR为低电平,LD为高电平时,执行计数功能。
执行加计数时,减计数端CP D接高电平,计数脉冲由CP U输入;在计数脉冲上升沿进行8421码十进制加法计数。
执行减计数时,加计数端CP U接高电平,计数脉冲由减计数端CP D 输入,表6-2为8421码十进制加、减计数器的状态转换表。
计数器数电实验报告心得
计数器数电实验报告心得前言计数器是数字电路中的重要组成部分,它能够实现对电路输出信号进行计数并产生相应的计数结果。
在数电课程的学习中,我有幸参与了计数器实验,并通过实验掌握了计数器的基本工作原理和实际应用。
实验内容本次实验中,我们所使用的计数器是模4计数器,采用反馈连接的JK 触发器构成。
实验要求我们通过将四个JK触发器进行级联、运用逻辑门电路控制使之实现模4计数。
实验步骤1. 首先,我们根据实验电路原理图连接JK触发器。
2. 接下来,我们使用逻辑门电路连接JK触发器来实现计数。
3. 确保电路连接正确后,我们给电路供电并观察触发器的输出信号变化。
4. 最后,我们通过示波器对输出进行采样和测量,以验证实验结果的正确性。
实验结果通过实验,我们成功地完成了模4计数器的搭建,并观察到了其正确计数的结果。
实验中,我们分别测试了从0到3的四个计数状态,得到了预期的输出结果。
同时我们也使用示波器对输出进行测量,测得的计数频率也与理论设计值相符合。
这表明我们所搭建的模4计数器是可靠的,并且能够正确输出计数结果。
实验心得通过本次实验,我深刻体会到了计数器在数字电路中的重要性和广泛应用。
计数器不仅仅是用于简单的计数任务,它还能够应用于时钟信号的频率分频、计时等方面。
通过实验,我更深入地了解了计数器的工作原理和实际应用,对于数字电路的设计和实现有了更清晰的认识。
此外,我还学会了使用逻辑门电路来控制计数器的计数状态。
逻辑门电路可以根据需要来实现不同的计数方式,如正向计数、逆向计数等。
这为我们设计更为复杂的计数器提供了更多的灵活性。
实验中,我充分发挥了团队合作的精神,与实验组的成员积极协作,在电路连接、测试结果等方面进行了深入的讨论和交流。
通过合作,我们不仅更好地理解了计数器的工作原理,还提高了实验效率,并且取得了令人满意的实验结果。
总的来说,计数器数电实验使我对计数器的工作原理和实际应用有了更深刻的认识。
通过实验,我不仅提高了自己的动手能力和团队合作能力,还为我今后在数字电路设计和实现方面打下了坚实的基础。
数电实验报告计数器
数电实验报告计数器《数电实验报告:计数器》实验目的:本实验旨在通过搭建和测试计数器电路,加深对数电原理的理解,掌握计数器的工作原理和应用。
实验器材:1. 74LS76触发器芯片2. 74LS00与非门芯片3. 74LS08与门芯片4. 电源5. 示波器6. 万用表7. 逻辑开关8. 连接线实验原理:计数器是一种能够对输入的脉冲信号进行计数并输出相应计数结果的电路。
在本实验中,我们将使用74LS76触发器芯片搭建一个4位二进制同步计数器。
该计数器能够对输入的脉冲信号进行计数,并通过LED灯显示计数结果。
实验步骤:1. 根据74LS76触发器芯片的引脚图和真值表,搭建4位二进制同步计数器电路。
2. 将74LS00与非门芯片连接到计数器电路中,用于产生时钟信号。
3. 将74LS08与门芯片连接到计数器电路中,用于控制LED灯的显示。
4. 接通电源,使用逻辑开关产生输入脉冲信号。
5. 使用示波器和万用表对计数器电路的各个部分进行测试和调试。
实验结果:经过调试和测试,我们成功搭建了一个4位二进制同步计数器电路。
当输入脉冲信号时,LED灯能够正确显示计数结果,符合预期。
实验分析:通过本次实验,我们深入理解了计数器的工作原理和应用。
计数器是数字电路中常用的基本模块,广泛应用于各种计数和计时场合。
掌握计数器的原理和搭建方法,对于进一步学习和应用数字电路具有重要意义。
结论:本次实验通过搭建和测试计数器电路,加深了我们对数电原理的理解,掌握了计数器的工作原理和应用。
同时,我们也学会了使用示波器和万用表对数字电路进行测试和调试,为今后的实验和工作打下了坚实的基础。
数电 计数器 实验报告
数电计数器实验报告数电计数器实验报告引言:计数器是数字电路中常见的一种组合逻辑电路,它可以实现对输入信号进行计数的功能。
在本次实验中,我们将通过搭建一个4位二进制计数器的电路,深入了解计数器的工作原理和应用。
一、实验目的本次实验的目的是通过搭建一个4位二进制计数器的电路,学习计数器的基本原理,掌握计数器的设计和应用方法。
二、实验原理计数器是由触发器和逻辑门组成的组合电路。
触发器是一种存储器件,可以存储一个比特的数据。
逻辑门则负责对输入信号进行处理和控制。
在计数器中,触发器的输出被连接到逻辑门的输入,逻辑门的输出又反馈到触发器的输入,形成了一个闭环。
当输入信号发生变化时,逻辑门会根据其输入信号的状态改变输出信号的状态,从而实现计数器的计数功能。
三、实验材料本次实验所需的材料如下:1. 电路板2. 74LS74触发器芯片3. 74LS08与门芯片4. 74LS32或门芯片5. 连线材料6. 电源四、实验步骤1. 将74LS74触发器芯片插入电路板上的指定位置,并连接电源。
2. 使用连线材料将74LS74触发器芯片的引脚与74LS08与门芯片和74LS32或门芯片的引脚相连,按照电路图进行正确的连接。
3. 检查电路连接是否正确,确保没有短路或接触不良的情况。
4. 打开电源,观察计数器的输出情况。
5. 将输入信号接入计数器,观察计数器的计数变化。
五、实验结果与分析通过实验,我们成功搭建了一个4位二进制计数器的电路。
当输入信号发生变化时,计数器能够按照二进制方式进行计数。
例如,当输入信号从0变为1时,计数器的输出会从0000变为0001;当输入信号再次变为0时,计数器的输出会继续递增,变为0010,0011,0100,以此类推。
实验结果表明,计数器能够准确地对输入信号进行计数,并按照预期的方式输出计数结果。
六、实验总结本次实验通过搭建一个4位二进制计数器的电路,深入了解了计数器的工作原理和应用。
我们学习了计数器的基本原理,掌握了计数器的设计和应用方法。
数电计数器实验报告
数电计数器实验报告
实验名称:数电计数器实验报告
一、实验目的
了解数码计数器的基本原理和工作方式,掌握计数原理及电路实现方法,培养实验操作能力。
二、实验内容
1. 设计一个基本的二进制计数器电路
2. 加深对计数器的理解并搭建计数器电路
三、实验器材
1. 计数器芯片:CD74HC161E
2. 电源电源适配器
3. 示波器
4. 直流电压表
5. 万用表
四、实验步骤
1. 将芯片和电路板连接
2. 将电路电源设置到好
3. 用直流电压表测试电路板工作电压是否正常
4. 用万用表检查所连接线路的连通状况
5. 用示波器测量芯片输出波形是否正常
六、实验结果
在实验过程中,我们成功地节点了一个基本的二进制计数器电路,并顺利地搭建了计数器电路。
计数器能够正常工作,实验目
标全部达到。
七、实验结论
通过实验,我们深入了解了数码计数器的基本原理和工作方式,培养了实验操作的能力,并通过实验获得了实际操作的经验。
八、实验感想
通过这次实验,我们深刻认识到了学习知识的重要性。
掌握计
数器原理是我们今后从事电子学领域必要的基础,因此我们要保
持深入学习、不断拓展知识面的心态。
同时,在操作实验过程中,我们也要注重细节、沉着冷静,并时刻保持对失误的辨识、纠正
和处理能力。
数电实验报告之计数器
数字逻辑与数字系统设计实验报告——计数器VHDL语言仿真学院电子工程学院班级卓越001012班学号00101201姓名冉艳伟实验时间2012.5.4一.实验目的1.了解计数器的工作原理。
2.对Quartus II 软件使用操作有初步的了解,能用该软件进行简单的VHDL语言编程与功能仿真3、掌握VHDL设计实体的基本结构及文字规则。
二.实验仪器1.计算机一台2.万用表一块3.直流稳压电源一台4.数字电路实验板一台(含cyclone—II FPGA芯片)5.数据下载线,JTAG连接线若干三.实验内容1.用VHDL语言描述模50计数器。
要求完成电路设计,进行电路仿真,并下载后作功能测试。
将计数器时钟置为1HZ方波信号,输出接译码、显示电路,在数码管上观察输出状态变化。
2.设计一个计数型序列码产生电路,产生的序列码(输出Z)为1101000101。
要求用FPGA实现,并在实验箱上测试其功能,时钟设置为1KHZ,在示波器上双踪观察并记录CP,Z的波形。
四.实验数据记录与处理1. 模50计数器1)VHDL语言LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity CounterM50 isport(clk,rst,en:in bit;rco:out bit;q:out std_logic_vector(7 downto 0));end CounterM50;architecture behavior of CounterM50 issignal temp_q:std_logic_vector(7 downto 0);beginprocess(clk,rst)beginif(rst='0')thentemp_q<="00000000";rco<='0';elsif(clk'event and clk='1')thenif(en='1')thenif(temp_q<"00110001")thentemp_q<=temp_q+1;else temp_q<="00110001";end if;end if;end if;if(temp_q="00110001")thenrco<='1';else rco<='0';end if;end process;q<=temp_q;end behavior;2)功能仿真建立波形文件,功能仿真结果如下:3)时序仿真建立波形文件,时序仿真结果如下:2.计数型序列码1101000101产生电路1)VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sequence isport(clk:in std_logic;z:out std_logic);end sequence;architecture behavior of sequence istype state_type is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9);signal current_state,next_state:state_type; beginprocess(clk)beginif clk'event and clk='1'thencurrent_state<=next_state;end if;end process;state_trans:process(current_state)begincase current_state iswhen s0=>next_state<=s1;z<='1';when s1=>next_state<=s2;z<='1';when s2=>next_state<=s3;z<='0';when s3=>next_state<=s4;z<='1';when s4=>next_state<=s5;z<='0';when s5=>next_state<=s6;z<='0';when s6=>next_state<=s7;z<='0';when s7=>next_state<=s8;z<='1';when s8=>next_state<=s9;z<='0';when s9=>next_state<=s0;z<='1';end case;end process;end behavior;2)功能仿真建立波形文件,功能仿真结果如下:3)时序仿真建立波形文件,时序仿真结果如下:。
数字电子计数器实训报告
一、实训目的1. 理解数字电子计数器的工作原理和组成结构;2. 掌握数字电子计数器的调试方法和应用;3. 培养实际操作能力和团队协作精神。
二、实训内容1. 数字电子计数器的原理与组成;2. 数字电子计数器的调试方法;3. 数字电子计数器的应用实例。
三、实训过程1. 数字电子计数器的原理与组成数字电子计数器是一种用来实现数字信号计数的电子设备,它由计数器、时钟源、复位电路、显示电路等组成。
计数器是数字电子计数器的核心部分,常用的计数器有异步计数器和同步计数器两种。
(1)异步计数器:异步计数器中各触发器的时钟信号不同,其特点是结构简单,易于实现,但计数速度较慢。
(2)同步计数器:同步计数器中各触发器的时钟信号相同,其特点是计数速度快,但结构较复杂。
2. 数字电子计数器的调试方法(1)检查电路连接:首先检查电路连接是否正确,包括计数器、时钟源、复位电路、显示电路等。
(2)调整电路参数:根据计数器的要求,调整电路参数,如计数器的工作频率、复位电路的阈值等。
(3)调试计数器:观察计数器的输出波形,确保计数器能够正确计数。
3. 数字电子计数器的应用实例(1)数字秒表:利用数字电子计数器,可以制作出高精度的数字秒表,广泛应用于体育比赛、实验室等场合。
(2)数字频率计:通过数字电子计数器,可以测量信号的频率,广泛应用于通信、科研等领域。
(3)数字脉冲计数器:利用数字电子计数器,可以实现对脉冲信号的计数,广泛应用于工业控制、数据采集等场合。
四、实训总结1. 通过本次实训,掌握了数字电子计数器的工作原理和组成结构,了解了异步计数器和同步计数器的特点。
2. 学会了数字电子计数器的调试方法,能够对计数器进行正确调试。
3. 熟悉了数字电子计数器的应用实例,了解了其在实际工程中的应用。
4. 在实训过程中,提高了实际操作能力和团队协作精神,为今后从事相关工作打下了基础。
五、实训心得1. 理论知识与实践相结合:在实训过程中,将所学的理论知识与实际操作相结合,加深了对数字电子计数器的理解。
计数器数电实验报告
计数器数电实验报告《计数器数电实验报告》实验目的:本次实验旨在通过搭建计数器电路,加深学生对数电原理的理解,提高学生的动手能力和实验操作技能。
实验原理:计数器是一种能够按照特定规律对输入信号进行计数的电路。
在本次实验中,我们将使用集成电路74LS90和74LS47来搭建一个模4计数器。
74LS90是一个可递增或递减的4位二进制计数器,而74LS47是一个BCD-7段译码器,用于将二进制计数转换为7段数码管的显示。
实验材料:1. 74LS90集成电路2. 74LS47集成电路3. 7段数码管4. 电源5. 连接线6. 示波器实验步骤:1. 将74LS90和74LS47集成电路插入实验面包板中,并连接好电源和连接线。
2. 根据电路原理图连接好各个元件,确保连接正确无误。
3. 接通电源,调节示波器观察输出波形,验证计数器的工作状态。
4. 通过改变输入信号的方式,观察计数器的不同工作模式,并记录观察结果。
实验结果:经过实验操作,我们成功搭建了一个模4计数器电路,并通过示波器观察到了正确的计数输出波形。
在改变输入信号的情况下,我们也观察到了计数器的不同工作模式,验证了电路的正常工作。
实验结论:通过本次实验,我们深入了解了计数器的工作原理和实验操作技能。
通过动手搭建电路和观察波形,我们加深了对数电原理的理解,提高了实验操作的能力。
同时,我们也发现了实验中可能存在的问题和改进的空间,为今后的实验操作提供了宝贵的经验。
总结:本次实验不仅让我们了解了计数器的原理和工作方式,还提高了我们的动手能力和实验操作技能。
通过实验,我们对数电原理有了更深入的理解,为今后的学习和实践打下了坚实的基础。
数电实验7——计数器. 报告docx
深圳大学实验报告课程名称:数字电子技术实验项目名称:计数器学院:光电工程学院专业:光源与照明指导教师:**报告人:黄学号:2016 班级:实验时间:2018年12月19日实验报告提交时间:教务处制三、实验原理:计数器器件是应用较广的器件之一,它有很多型号,各自完成不同的功能,可根据不同的需要选用。
本实验选用74LS162做实验器件。
74LS162引脚图见图1。
74LS162是十进制BCD同步计数器。
Clock是时钟输入端,上升沿触发计数触发器翻转。
允许端P和T都为高电平时允许计数,允许端T为低时禁止Carry产生。
同步预置端Load加低电平时,在下一个时钟的上升沿将计数器置为预置数据端的值。
清除端Clear为同步清除,低电平有效,在下一个时钟的上升沿将计数器复位为0。
74LS162的进位位Carry在计数值等于9时,进位位Carry为高,脉宽是1个时钟周期,可用于级联。
四、实验内容与步骤:(一)实验内容:1、用1片74LS162和1片74LS00采用复位法构一个模7计数器。
用单脉冲做计数时钟,观测计数状态,并记录。
用连续脉冲做计数时钟,观测并记录Q D,Q C,Q B,Q A的波形。
2、用1片74LS162和1片74LS00采用置位法构一个模7计数器。
用单脉冲做计数时钟,观测并记录Q D,Q C,Q B,Q A的波形。
3、用2片74LS162和1片74LS00构成一个模60计数器。
2片74LS162的Q D,Q C,Q B,Q A分别接两个译码显示的D,B,C,A端。
用单脉冲做计数时钟,观测数码管数字的变化,检验设计和接线是否正确。
(二)实验接线及测试结果:1、复位法构成的模7计数器接线图及测试结果(1)复位法构成的模7计数器接线图图9.1 复位法7进制计数器接线图1 图9.2 复位法7进制计数器接线图2 图中,AK1是按单脉冲按钮,LED0,LED1,LED2和LED3是逻辑状态指示灯,100kHz 是连续脉冲源。
数电计数器实验报告
数电计数器实验报告
2.7.1实验目的
1?掌握中规楼集成数器74LS16074LS161、74LS163的逻辑功能及使用方法。
2.掌掘同步清零与异步清零的区别及74LS160计数器的级联方法。
3.学习用中规模集成计数器设计任意制计数器。
2.7.2实验仪器设备与主要器件
实验箱一个;效踪示波器一台:稳压电源一台:函数发生器一台。
74LS160,74LS161和74LS163。
2.7.3实验原理
计数器的功能是记录输入脉冲的个数。
他所能记忆的最大脉冲个数称为该计数器的模。
计数器不仅能统计输入脉冲的个数,还可以用作分频、定时、产生节拍脉冲等。
根据进位方式,可分为同步和异步两类:根漏进制,可分为一进制、十进制和任意进制等。
根据逻斜功能,可分为加法计数器、减法计数器和可逆计数器等,根据电路集成度,可分为小规模集成计数器和中规模集成计数器。
2.7.4实验内容
1.分别用74LS161和74LS163设计模13计数器,采用清零法实现,并用数码答显示实验结果。
设计思路:74LS161是1六进制计数器,所以我在它计数到13(1101)清等就行了,再利用二进制数与BCD码对应关系,即利用74LS283的逻辑功能使数码管显示实验结果。
计数时电路状态转换关系:
0000-00010010-0011-01000101-01100111-10001001-1010-1011-11 00-0000。
计数器数电实验报告
计数器数电实验报告计数器数电实验报告引言:计数器是数字电路中常见的一个模块,用于计算和记录输入信号的脉冲数。
本次实验旨在通过设计和实现一个4位二进制计数器,加深对计数器原理和数电实验的理解。
一、实验目的本实验的目的是通过设计和实现一个4位二进制计数器,加深对计数器原理和数电实验的理解。
二、实验器材1. 数字逻辑实验箱2. 7400、7402、7404、7476、7490等集成电路芯片3. 连线和电源线三、实验原理计数器是一种用于记录输入脉冲数量的电子电路。
常见的计数器有二进制计数器、BCD计数器等。
本实验中,我们将设计一个4位二进制计数器,即计数范围为0-15。
四、实验步骤1. 按照电路原理图连接实验箱中的集成电路芯片,确保连接正确。
2. 将电源线接入实验箱,确保电路正常供电。
3. 通过按下实验箱上的开关,给计数器输入脉冲信号。
4. 通过观察计数器输出端的LED灯亮灭情况,判断计数器是否正常工作。
5. 调整输入脉冲信号的频率,观察计数器的计数变化情况。
五、实验结果与分析经过实验,我们成功地设计和实现了一个4位二进制计数器。
当输入脉冲信号的频率较低时,我们可以清晰地观察到计数器的计数变化,LED灯依次亮起。
当输入脉冲信号的频率较高时,我们可以看到LED灯快速闪烁,但我们无法逐个数清楚。
这是因为计数器的计数速度跟不上输入脉冲信号的频率。
六、实验总结通过本次实验,我们深入了解了计数器的原理和工作方式。
计数器作为数字电路中常见的模块,广泛应用于各个领域。
通过设计和实现一个4位二进制计数器,我们不仅加深了对计数器的理解,还掌握了实验中常用的集成电路芯片的连接方法。
然而,本次实验还存在一些问题。
首先,计数器的计数范围仅为0-15,无法满足更大范围的计数需求。
其次,计数器的计数速度受限于输入脉冲信号的频率,当频率过高时无法逐个数清楚。
对于这些问题,我们可以进一步改进和优化设计,以满足不同的应用需求。
在今后的学习和实践中,我们将继续深入研究和应用计数器的原理,探索更多的应用场景和设计方法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电计数器实验报告
引言
数电计数器是数字电路中非常重要的一种组合逻辑电路,它能够按照一定的规律输出特定的数字序列。
本次实验旨在通过设计和搭建一个4位二进制计数器,深入理解计数器的原理和工作原理,并验证其在电路实现中的运行情况。
实验过程
1. 实验材料准备
在开始实验之前,我们需要准备以下物品:
- 1个集成电路芯片(例如74LS161)
- 1个面包板
- 适当数量的导线
- 指示灯若干
- 功能发生器或时钟装置
2. 电路连接
根据集成电路芯片的管脚接线图,我们将芯片插入面包板,并根据需要连接各个管脚。
首先,根据实验要求,将芯片的使能引脚接地,以激活芯片。
然后,将芯片的时钟引脚连接到功能发生器或时钟装置的输出端,从而提供计数器的时钟信号。
使用导线将输出引脚连接到相应的指示灯上,以观察计数器的计数值。
3. 计数器设置
根据实验要求,我们调整计数器的初始值。
我们可以通过将相应的输入引脚连接到高电平或低电平来设置计数器的初始值。
通常,通过组合逻辑电路将特定的初始值输入到计数器的清零引脚或配置引脚。
4. 实验结果观察
启动功能发生器或时钟装置,观察计数器的输出情况。
通过逐渐递增钟脉冲的频率或递减初始值,我们可以观察到计数器依次输出的二进制数字序列。
使用指示灯,我们可以直观地看到计数器的计数情况。
实验结果分析
通过观察实验结果,我们可以得出以下结论:
- 计数器可以在电路中成功实现不同形式的计数功能,例如二进制计数、十进制计数等。
- 计数器能够按照时钟信号的频率进行计数,具有一定的计数速度。
- 计数器的输出可以通过组合逻辑电路进行控制,实现更加复杂的计数模式,比如递减计数。
实验总结
通过本次实验,我们深入了解了数电计数器的工作原理和电路实现过程。
我们通过搭建一个4位二进制计数器,验证了计数器的正常工作,并观察到了不同的计数方式。
实验过程中,我们不仅学习了数电计数器的基本概念和原理,还增强了电路连接与实验操作的能力。
在今后的学习中,我们可以进一步研究和设计更复杂的计数器电路,探索计数器在数字系统中的更广泛应用。
此外,我们也可以尝试在实验中使用不同型号的集成电路芯片,以探索不同计数器的功能和性能差异。
这样可以帮助我们更好地理解和运用数电计数器,在数字电路设计和应用中发挥更大的作用。
结语
通过本次实验,我们对数电计数器有了更深入的了解。
通过实
际操作和观察,在实验中我们巩固了理论知识,并培养了解决问
题和创新设计的能力。
数电计数器在数字电路中具有重要的作用,能够广泛应用于计算机、通信等领域。
因此,我们将继续学习和
探索数电计数器的原理和应用,为未来的技术发展做出贡献。