并入串出移位寄存器(8位)

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

LIBRARY IEEE; --并入串出移位寄存器
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY piso IS
PORT(din:IN STD_LOGIC_VECTOR(7 DOWNTO 0);
clk:IN STD_LOGIC;
load:IN STD_LOGIC;
dout:OUT STD_LOGIC);
END piso;
ARCHITECTURE behav OF piso IS
SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
PROCESS(load,clk)
BEGIN
IF (load ='0') THEN
q <= din;
ELSIF (clk'event AND clk ='1' ) THEN
q(1) <= q(0);
FOR i IN 2 TO 7 LOOP
q(i) <=q(i-1);
END LOOP;
END IF;
END PROCESS;
PROCESS(load,clk)
BEGIN
IF load = '0' THEN
dout <='0';
ELSIF (clk'event AND clk = '1' ) THEN
dout <= q(7);
END IF;
END PROCESS;
END behav;

相关文档
最新文档