四相步进电机定位控制系统
四相步进电机工作原理
四相步进电机工作原理四相步进电机是一种常见的电机类型,它通过控制电流的方向和大小来实现精确的步进运动。
在本文中,我们将深入探讨四相步进电机的工作原理,以及它是如何实现精确的步进运动的。
1. 基本原理。
四相步进电机由四个电磁线圈组成,每个线圈都与电机的一个固定位置相对应。
通过改变这些线圈的电流方向和大小,可以控制电机的转动。
通常情况下,四相步进电机会采用双极或四极设计,这意味着每个线圈都有两个状态,通电和断电。
通过改变线圈的通断状态,可以实现电机的步进运动。
2. 步进控制。
四相步进电机的步进控制是通过改变线圈的通断状态来实现的。
通常情况下,电机会按照固定的步距进行旋转,每一步的大小由线圈的设计和控制电流的大小决定。
通过改变线圈的通断状态和电流的大小,可以实现不同步距的步进运动,从而实现精确的位置控制。
3. 驱动方式。
四相步进电机的驱动方式通常有两种,全步进和半步进。
全步进是指每次只激活一个线圈,电机按照固定的步距进行旋转。
而半步进则是在全步进的基础上,每次激活两个相邻的线圈,从而实现更精细的步进运动。
通过这两种驱动方式的组合,可以实现更加精确的位置控制。
4. 控制电路。
为了实现对四相步进电机的精确控制,通常需要使用特定的控制电路。
这些控制电路可以根据输入的控制信号来改变线圈的通断状态和电流大小,从而实现精确的步进运动。
常见的控制电路包括脉冲控制器和驱动器,它们可以根据输入的脉冲信号来控制电机的旋转方向和步距。
5. 应用领域。
四相步进电机由于其精确的位置控制和简单的结构,被广泛应用于各种领域。
例如,它常用于打印机、数控机床、3D打印机和机器人等设备中,用于实现精确的位置控制和运动控制。
此外,四相步进电机还常用于需要精确控制的仪器和设备中,如医疗设备和实验仪器等。
总结。
四相步进电机是一种常见的电机类型,它通过改变线圈的通断状态和电流大小来实现精确的步进运动。
通过控制电机的驱动方式和控制电路,可以实现更加精确的位置控制和运动控制。
四相步进电机控制系统设计
《单片机课程设计》设计报告设计题目:四相步进电机控制系统设计系专别:业:自动化工程系测控技术与仪器班级学号:5091016姓名:李建华指导教师:吕江涛张宝健设计时间:2011/12/29目录1 概述 (1)2 四项步进电机 (2)2.1 步进电机 (2)2.2 步进电机的控制 (2)2.3 步进电机的工作过程 (2)3 电路图设计 (4)3.1 AT89S52概述 (4)3.2 3.3 3.4 3.5最小系统 (4)复位电路 (5)拨码电路 (5)电机驱动电路 (6)4 程序设计 (7)4.1 4.2 4.3主程序框图 (7)步进电机速度控制程序框图 (8)拨码开关输入程序框图 (10)5 总结 (11)5.1 心得 (11)5.2 收获 (11)附录一附录二源程序 (12)电路原理图 (15)1 概述本实验旨在通过控制 AT89S52 芯片,实现对四相步进电机的转动控制。
具体功能主要是控制电机正转、反转、加速与减速。
具体工作过程是:给试验箱上电后,拨动启动开关,步进电机按照预 先设置的转速和转动方式转动。
调整正反转按钮,步进电机实现正反转切 换;拨动加速开关,步进电机转速加快,速度达到最大值,不再加速;拨 动减速开关时,电机减速转动,速度减到最小速度,停止减速。
系统控制框图实验具体用到的仪器:AT89S52(试验箱上为 89C58)芯片、拨码开关单 元、四项步进电机等硬件设备。
实验具体电路单元有:单片机最小系统、步进电机连接电路、拨码开 关连接电路。
步进电机 (驱动)AT89S52 单片机 独立按键键盘2 四项步进电机2.1 步进电机步进电机是一种将电脉冲转化为角位移的执行机构。
电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。
2.2 步进电机的共组原理2.2 步进电机的控制1.换相顺序控制:通电换相这一过程称为脉冲分配。
四相八拍步进电机控制电路
四相八拍步进电机控制电路
步进电机在各种自动控制领域中有着广泛的应用,它通过精确的位置控制和简单的控制电路设计,实现了高效的运行。
在步进电机中,四相八拍步进电机是一种常见的类型,它具有结构简单、控制方便等特点,因此得到了广泛采用。
步进电机的控制原理基于控制电路对电机内部各个线圈的通断控制,从而实现单步运动。
四相八拍步进电机由四个线圈组成,按相间夹角为90度的顺序连接,每相均可单独控制。
常见的步进电机控制电路包括单片机控制、逻辑门控制等。
在设计四相八拍步进电机控制电路时,首先需要确定电机驱动方式。
常见的方式包括全步进驱动和半步进驱动。
全步进驱动中,电机每步转动一个完整的步进角度;而在半步进驱动中,电机每步转动半个步进角度。
选择不同的驱动方式可以实现不同的转动精度和速度要求。
控制电路中常用的元器件包括晶体管、电阻、电容等。
通过合理的连接和控制,可以使步进电机按照预先设定的步进序列运行。
在具体设计电路时,需要根据电机的参数和工作要求,选择合适的元器件和控制方式,并进行电路调试和优化。
为了确保步进电机的稳定运行,还需要注意电源稳定性和线圩的连接质量。
稳定的电源可以提供电机正常工作所需的能量,而良好的线圩连接可以减小电机运行时的噪音和振动,延长电机使用寿命。
总的来说,四相八拍步进电机控制电路是实现步进电机精准运动的关键,通过合理的设计和调试,可以有效地实现对电机位置的控制。
在实际应用中,可以根据具体要求进行电路的定制设计,以满足不同场景下步进电机的控制需求。
1。
说明书范例--四相步进电动机PLC控制
1任务分析1.1分析控制对象四相步进电动机是一种将电脉冲信号转换成直线位移或角位移的执行元件。
步进电机的输出位移量与输入脉冲个数成正比,其转速与单位时间内输入的脉冲数(脉冲频率)成正比,其转向与脉冲分配到步进电机的各相绕组的相序有关。
所以只要控制指令脉冲的数量、频率及电机绕组通电相序,便可控制步进电机的输出位移量、速度和转向。
步进电机具有较好的控制性能,其启动、停车、反转及其它任何运行方式改变,都在少数脉冲内完成,且可获得较高的控制精度,因而得到了广泛的应用。
1.2四相步进电机的控制要求四相的控制要求如下:○1能对四相步进电动机的转速进行控制;○2可实现对四相步进电动机的正反转控制;○3能对四相步进电动机的步数进行控制;2方案设计在步进电动机控制系统中,步进电动机作为一种控制用的特种电机,利用其没有积累误差的特点,广泛应用于各种控制中,其控制主要有开环、半闭环、闭环控制。
方案一:开环控制系统图2.1 开环步进电动机控制系统框图开环控制系统没有使用位置、速度检测装置及反馈装置,因此具有结构简单、使用方便、可靠性高、制造成本低等优点。
另外,步进电动机受控于脉冲量,它比直流电机或交流电机组成的开环精度高,适用于精度要求不太高的机电一体化伺服传动系统。
方案二:半闭环控制系统图2.2 半闭环步进电动机控制系统框图半闭环控制系统调试比较方便,并且具有很好的稳定性,不过精度不太高,较少使用。
方案三:闭环控制系统图2.3 闭环步进电动机控制系统框图闭环控制系统定位精度高,但调试和维修都较困难,系统复杂,成本高。
综合三种方案,根据步进电动机的特点,从制造成本与系统结构复杂程度考虑,本设计采用方案一,在开环控制系统中,用PLC控制四相步进电动机。
3 步进电动机的选择现在比较常用的步进电机包括反应式步进电动机,永磁性步进电动机,混合式步进电动机和单相式步进电动机。
永磁式步进电动机一般为两相,转矩和体积较小,步进角一般为7.5度或15度;反应式步进电动机一般为三相,可实现大转矩输出,步进角一般为1.5度,但噪声和振动都很大。
四相步进电机工作原理
四相步进电机工作原理
步进电机是一种利用旋转磁场原理来实现步进位置控制的电机,其特性比较明显,它可以定位精准,运行可靠,结构简单,它主要用于精密的非常快速的位置控制和启动应用,有四相步进电机、两相步进电机和五相步进电机等几种类型。
其中,四相步进电机具有比其他步进电机更加鲁棒的性能,但也更加复杂。
四相步进电机的工作原理主要基于旋转磁场的原理,它有两个主要结构:旋转磁场和磁铁。
磁场是由外部控制电路和控制电路供电来实现的,外部控制电路分为直流、正弦或方波等,控制电源由电池、变压器、桥式整流电源等实现。
它们两个之间的物理相互作用可以实现旋转磁场。
磁铁是该电机的结构部分,它由极化铁芯和转子铁芯组成,可以在旋转磁场的作用下产生强烈的定向磁力,从而实现步进的位置控制。
四相步进电机的具体运行方式是,当控制电路和控制电源激活时,旋转磁场就会产生,随后磁铁会随着磁场的旋转而实现一定的位置偏移,这就是它的步进位置控制。
而整个运行过程是按照一定的频率,以及一定的排序来控制位置偏移。
换句话来说,就是首先激活一个相位,然后随着电源和控制电路的供电,每个相位依次旋转,这样就可以实现步进的位置控制。
四相步进电机的总的优点主要体现在几个方面,首先,具有较高的功率密度,也就是所提供的功率比其它电机更加高级;其次,具有良好的功率效率,也就是所提供的功率较功率消耗更加高效;最后,
具有较高的精度,也就是位置控制方面比其它电机更加精准。
总之,四相步进电机是一种非常先进的电机,它凭借着旋转磁场原理实现步进位置控制,具备了高功率密度,良好的功率效率和高精度等优点,在非常快的位置控制和启动应用中,发挥着非常重要的作用。
步进电机控制系统浅析
步进电机控制系统浅析
步进电机控制系统是一种常见的电机控制系统,常用于工业自动化、印刷设备、医疗设备等领域。
它通过精确控制电机的运动角度和速度,实现精准定位和运动控制。
步进电机具有以下特点:步进角度固定、响应时间短、精度高、输出力矩大、结构简单、使用寿命长等。
步进电机的控制原理是利用电流的正反向切换来控制电机转动的步进角度。
控制系统通常包括驱动电路、控制器和电源三部分。
驱动电路是步进电机控制系统的核心,它将控制信号转换为电机的信号,驱动电机旋转。
常用的驱动电路有两相步进电机驱动、三相步进电机驱动和四相步进电机驱动。
四相步进电机驱动最为常见。
驱动电路通常由晶体管或集成电路构成,可根据具体需求选择不同的驱动方式。
控制器是步进电机控制系统的核心,它接受控制信号,根据需要生成驱动电路所需的信号,并传递给驱动电路,控制电机转动。
控制器可以由单片机、PLC、DSP等实现,单片机最为常用。
控制器根据接收到的控制信号,生成相应的驱动信号和脉冲信号,通过驱动电路控制电机的转动。
电源为步进电机提供工作电压和电流,是步进电机控制系统的重要组成部分。
电源需要根据步进电机的额定电压和电流进行选择,以保证系统正常工作。
电源通常包括直流电源和交流电源两种,根据具体需求选择不同类型的电源。
步进电机控制系统的优点是可以实现高精度、高可靠性的定位控制,适用于需要精确定位和运动控制的领域。
它简单可靠,使用寿命长,成本较低。
但也存在一些缺点,如控制器复杂性较高,对驱动电路要求较高,需要较高的控制精度。
写出四相八拍步进电机的控制模型
写出四相八拍步进电机的控制模型步进电机是一种常见的电动机,通过控制电流方向改变磁场来驱动转子运动。
四相八拍步进电机是其中一种类型,它包含四个相,每相有两个步进角度,总共有八拍。
在控制步进电机时需要了解其控制模型,以便准确控制其转动角度和速度。
步进电机的工作原理步进电机的运动是通过将电流施加到电机的不同相上,使得产生的磁场相互作用而形成驱动力,从而使转子运转。
对于四相八拍步进电机来说,控制每个相的电流能够实现准确的步进角度,从而控制电机的转动。
控制模型控制四相八拍步进电机的关键在于确定每个步进角度的电流控制。
一种常用的控制模型是利用微控制器或控制器来控制电机的电流输出。
通过适当的算法,可以实现精确的步进角度控制,从而控制电机的转动。
步进角度控制步进电机的每个步进角度由控制电流的波形决定。
在四相八拍步进电机中,可以通过改变每相的电流顺序和大小来控制电机的步进角度。
例如,按照ABCD的顺序控制每相电流,就可以实现电机的顺时针或逆时针转动,从而控制步进角度。
控制算法控制四相八拍步进电机的算法种类繁多,常见的有正弦曲线控制、脉冲信号控制等。
这些算法可以根据电机的具体应用需求进行选择,以实现最佳的电机控制效果。
通过合理选择和调整算法参数,可以实现步进电机的平稳转动和精确控制。
应用领域四相八拍步进电机广泛应用于打印机、CNC机床、自动化设备等领域。
在这些领域中,步进电机的精确控制和可靠性是非常重要的,只有准确地控制电机的步进角度,才能实现设备的高效运行和稳定性。
结语四相八拍步进电机的控制模型是实现电机精确控制的关键。
通过了解步进电机的工作原理、控制模型以及相应的控制算法,可以实现对电机转动角度和速度的精确定位和控制。
在实际应用中,需要根据具体需求选择合适的控制方法,以确保步进电机的稳定运行和精准控制。
四相步进电机工作原理
四相步进电机工作原理
四相步进电机是一种常见的电机类型,它具有许多独特的工作原理和特点。
在
本文中,我们将深入探讨四相步进电机的工作原理,以帮助读者更好地理解这种电机的工作方式。
首先,让我们来了解一下四相步进电机的结构。
四相步进电机由定子和转子两
部分组成。
定子上有四组线圈,每组线圈都被称为一个相,因此称为四相步进电机。
转子上有多个磁极,通常是永磁体,这些磁极会与定子上的线圈产生磁耦合。
四相步进电机的工作原理基于磁场的相互作用。
当定子上的线圈通电时,会产
生一个磁场,这个磁场会与转子上的磁极相互作用,从而使转子产生转动。
通过依次通电不同的线圈,可以实现转子的精确控制和定位。
在实际应用中,控制四相步进电机的转动通常使用驱动器来完成。
驱动器会根
据输入的控制信号来依次通电不同的线圈,从而控制电机的转动角度和速度。
这种控制方式使得四相步进电机在许多自动化系统中得到广泛应用,例如打印机、数控机床、机器人等领域。
此外,四相步进电机还具有一些特点,例如步进角度固定、转矩与电流成正比、无需反馈控制等。
这些特点使得它在一些对精确控制要求较高的场合中表现出色。
总的来说,四相步进电机是一种结构简单、控制方便、精度高的电机类型。
通
过深入理解其工作原理,我们可以更好地应用它在各种自动化系统中,为人们的生产生活提供便利。
希望本文对读者对四相步进电机的工作原理有所帮助。
四相步进电机的三种控制方法
四相步进电机的三种控制方法四相步进电机是一种常用的电机,其控制方法有多种。
下面将介绍其中的三种控制方法。
1. 单板机控制单板机控制是一种非常常见的控制方法,其原理是通过使用控制芯片驱动四相步进电机,实现步进电机的精确控制。
单板机控制器通常由两部分组成:驱动电路和控制电路。
驱动电路由四个 MOSFET 组成,可分别控制四种不同的相序。
控制电路与驱动电路集成在控制芯片中。
单板机控制对于复杂步进电机的控制具有很高的可扩展性。
此外,在一些较小的应用中,这种控制方法还具有成本较低的优势。
2. PLC 控制PLC(可编程逻辑控制器)是一种通用的控制器,其功能与单板机控制类似,但具有更高的可编程性和灵活性。
通过配置软件,用户可以使用 PLC 控制器轻松实现四相步进电机的控制。
与单板机控制相比,PLC 控制的优势在于其对于复杂控制任务的处理能力更强。
此外,PLC 控制器通常支持多种不同的通信协议,使其更易于与其他设备进行集成。
3. 微控制器控制微控制器控制是一种集成度高的控制方案。
在这种方案中,微控制器被用作控制逻辑和驱动输出的单元。
与 PLC 控制器相比,微控制器控制的成本相对较低,并且通常具有更小的物理尺寸。
与单板机控制和 PLC 控制相比,微控制器控制的优势在于其高度集成的性质。
这意味着可以将其集成到其他系统中,以实现复杂的控制任务。
此外,由于其成本相对较低,因此可以大规模应用于消费类电子产品等应用中。
总体来说,三种控制方法各具特点,可以根据具体应用场景选择最适合的控制方案。
不论选择哪一种控制方法,都可以通过精确控制四相步进电机来实现高度自动化的系统。
PLC的四相步进电机控制方法及实现
基于PLC的四相步进电机控制方法及实现#1步进电机是一种将电脉冲信号转换成直线位移或角位移的执行元件。
步进电机的输出位移量与输入脉冲个数成正比,其转速与单位时间内输入的脉冲数(即脉冲频率)成正比,其转向与脉冲分配到步进电机的各相绕组的相序有关。
所以只要控制指令脉冲的数量、频率及电机绕组通电的相序.便可控制步进电机的输出位移量、速度和转向。
步进电机具有较好的控制性能,其启动、停车、反转及其它任何运行方式的改变,都在少数脉冲内完成,且可获得较高的控制精度,因而得到了广泛的应用2 PLC的特点及应用可编程序控制器fProgrammable Logic Controller)简称PLC,是在继电器控制和计算机控制的基础上开发出来的,并逐渐发展成以微处理器为核心,把自动化技术、计算机技术、通讯技术融为一体的新型工业自动控制装置。
它具有可靠性高、环境适应性好、编程简单、使用方便以及体积小、重量轻、功耗低等优点,因此迅速普及并成为当代工业自动化的支柱设备之一。
2.1高可靠性PLC所有的I/O接口电路均采用光电隔离,使工业现场的外电路与PLC内部电路之间电气上隔离;各输入端均采用RC滤波器,其滤波时间常数一般为10~20ms;各模块均采用屏蔽措施,以防止辐射干扰;采用性能优良的开关电源:具有良好的自诊断功能.一旦电源或其他软、硬件发生异常情况,CPU立即采用有效措施,以防止故障扩大;简化编程语言,对信息进行保护和恢复.设置警戒时钟WDT;对程序和动态数据进行电池后备。
上述措施使PLC有高的可靠性。
而采用循环扫描工作方式也提高其抗干扰能力。
2.2通用性强、采用模块化结构各个PLC的生产厂家都有各种系列化产品和各种模块供用户选择。
用户可以根据控制对象的规模和控制要求,选择合适的PLC产品,组成所需要的控制系统。
在做应用设计时,一般不需要用户制作任何附加装置.从而能使设计工作简化。
为了适应各种工业控制需要,除了单元式的小型PLC以外。
四相步进电机原理图及程序
四相步进电机原理图本文先介绍该步进电机的工作原理,然后介绍了其驱动器的软、硬件设计。
1. 步进电机的工作原理该步进电机为一四相步进电机,采用单极性直流电源供电。
只要对步进电机的各相绕组按合适的时序通电,就能使步进电机步进转动。
图1是该四相反应式步进电机工作原理示意图。
图1 四相步进电机步进示意图开始时,开关SB接通电源,SA、SC、SD断开,B相磁极和转子0、3号齿对齐,同时,转子的1、4号齿就和C、D相绕组磁极产生错齿,2、5号齿就和D、A相绕组磁极产生错齿。
当开关SC接通电源,SB、SA、SD断开时,由于C相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动,1、4号齿和C相绕组的磁极对齐。
而0、3号齿和A、B相绕组产生错齿,2、5号齿就和A、D相绕组磁极产生错齿。
依次类推,A、B、C、D四相绕组轮流供电,则转子会沿着A、B、C、D方向转动。
四相步进电机按照通电顺序的不同,可分为单四拍、双四拍、八拍三种工作方式。
单四拍与双四拍的步距角相等,但单四拍的转动力矩小。
八拍工作方式的步距角是单四拍与双四拍的一半,因此,八拍工作方式既可以保持较高的转动力矩又可以提高控制精度。
单四拍、双四拍与八拍工作方式的电源通电时序与波形分别如图2.a、b、c所示:a. 单四拍b. 双四拍c八拍图2.步进电机工作时序波形图2.基于AT89C2051的步进电机驱动器系统电路原理步进电机驱动器系统电路原理如图3:图3 步进电机驱动器系统电路原理图AT89C2051将控制脉冲从P1口的P1.4~P1.7输出,经74LS14反相后进入9014,经9014放大后控制光电开关,光电隔离后,由功率管TIP122将脉冲信号进行电压和电流放大,驱动步进电机的各相绕组。
使步进电机随着不同的脉冲信号分别作正转、反转、加速、减速和停止等动作。
图中L1为步进电机的一相绕组。
AT89C2051选用频率22MHz的晶振,选用较高晶振的目的是为了在方式2下尽量减小AT89C2051对上位机脉冲信号周期的影响。
四相双四拍步进电机控制系统设计解读
1引言本实验旨在通过控制AT89S52芯片,实现对四相步进电机的转动控制。
具体功能主要是控制电机正转、反转、加速与减速。
具体工作过程是:给试验箱上电后,拨动启动开关,步进电机按照预先设置的转速和转动方式转动。
调整正反转按钮,步进电机实现正反转切换;拨动加速开关,步进电机转速加快,速度达到最大值,不再加速;拨动减速开关时,电机减速转动,速度减到最小速度,停止减速。
89C58)芯片、拨码开关单元、四项步进电机等硬件设备。
实验具体电路单元有:单片机最小系统、步进电机连接电路、拨码开关连接电路。
2四项步进电机2.1 步进电机步进电机是一种将电脉冲转化为角位移的执行机构。
电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。
2.2 步进电机的共组原理2.2步进电机的控制1.换相顺序控制:通电换相这一过程称为脉冲分配。
例如:混合式步进电机的工作方式,其各相通电顺序为A-B-C-D,通电控制脉冲必须严格按照这一顺序分别控制A,B,C,D相的通断。
2.控制步进电机的转向控制:如果给定工作方式正序换相通电,步进电机正转,如果按反序通电换相,则电机就反转。
3.控制步进电机的速度控制:如果给步进电机发一个控制脉冲,它就转一步,再发一个脉冲,它会再转一步。
两个脉冲的间隔越短,步进电机就转得越快。
2.3步进电机的工作过程开关SB接通电源,SA、SC、SD断开,B相磁极和转子0、3号齿对齐,同时,转子的1、4号齿就和C、D相绕组磁极产生错齿,2、5号齿就和D、A相绕组磁极产生错齿。
当开关SC接通电源,SB、SA、SD断开时,由于C相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动,1、4号齿和C相绕组的磁极对齐。
而0、3号齿和A、B相绕组产生错齿,2、5号齿就和A、D相绕组磁极产生错齿。
依次类推,A、B、C、D四相绕组轮流供电,则转子会沿着A、B、C、D方向转动。
四相单四拍步进电机控制系统设计
,算机控制综合实验课程设计说明书学生姓名: _______________ 学号:_________________ 学院:____________ 信息与通信工程学院___________ 专业:_________________ 自动化_________________ 题目:四相单四拍步进电机控制系统设计指导老师________________ 职称__________________2011年12月26日课程设计任务书11/12学年第一学期学院:信息与通信工程学院专业:自动化学生姓名:学号:课程设计题目:四相单四拍步进电机控制系统设计2011年12月26日~2012 年1月6起迄日期:丄_________________________________________ 课程设计地点:_______________________________________ 指导教师:___________________________________________ 系主任:下达任务书日期:2011 年12月26日1. 设计目的:■■・・*・・・a-K・・WW ■・■・・・*・・・ VV・・vn ■・VE ■・・・*・・・ VV・・WW ■・■・BT・・・ *0・・ VV・・■・■・・・*・・・ VV・・■・n ■・BT・・・・・ VV・・■・VV ■・BT・・・ *0・・ VV・・■▼■!■・n ■・BT・・・・・ VV・・■・VK ■・・・!TB・・ VT・・■・9-K ■・■■设计一个计算机步进电机程序控制系统,可以对步进电机的转速、转向以及位置进行控制。
通过设计,掌握步进电机的工作原理、掌握步进电机控制系统的设计原理、设计步骤,进一步提高综合运用知识的能力。
2. 设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :・■■■ n ■■■■■!■■■■«■■ ITB ■■・*■■■ n ■■■■■■■■ W ■■ in ■■・*■■■ in W ■■ ITB ■■・*■■■ H in ■■・*■■■ H n ■■ B1! ■■・*■■■ H W ■■ Bl! ■■・*■■■ H n ■■ ■■・H ■■ H n ■■ ■■・H ■■ H ■■■■■ n ■■ ■■・(1)选择步进电机,设计接口电路和驱动电路,对步进电机进行控制。
五线四相步进电机简介
1、概念步进电机是将电脉冲信号转变为角位移或线位移的开环控制元步进电机件。
在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度,称为“步距角”,它的旋转是以固定的角度一步一步运行的。
可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。
【开环控制系统:不将控制的结果反馈回来影响当前控制的系统举例:打开灯的开关——按下开关后的一瞬间,控制活动已经结束,灯是否亮起已对按开关的这个活动没有影响;投篮——篮球出手后就无法再继续对其控制,无论球进与否,球出手的一瞬间控制活动即结束。
闭环控制系统:可以将控制的结果反馈回来与希望值比较,并根据它们的误差调整控制作用的系统举例:调节水龙头——首先在头脑中对水流有一个期望的流量,水龙头打开后由眼睛观察现有的流量大小与期望值进行比较,并不断的用手进行调节形成一个反馈闭环控制;骑自行车——同理,不断的修正行进的方向与速度形成闭环控制。
开环闭环的区别:1、有无反馈;2、是否对当前控制起作用。
开环控制一般是在瞬间就完成的控制活动,闭环控制一定会持续一定的时间,可以借此判断,投篮第一次投篮投近了第二次投的时候用力一些,这也是一种反馈但不会对第一次产生影响了,所以是开环控制】步进电机是一种感应电机,它的工作原理是利用电子电路,将直流电变成分时供电的,多相时序控制电流,用这种电流为步进电机供电,步进电机才能正常工作,驱动器就是为步进电机分时供电的,多相时序控制器。
【所谓时序,就是内存的时钟周期数值,脉冲信号经过上升再下降,到下一次上升之前叫做一个时钟周期,随着内存频率提升,这个周期会变短。
例如CL9的意思就是CL这个操作的时间是9个时钟周期。
时序电路,是由最基本的逻辑门电路加上反馈逻辑回路(输出到输入)或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。
四相八拍步进电机控制
四相八拍步进电机控制步进电机是一种常见的电机类型,广泛应用于各种领域中,其中四相八拍步进电机是其一种常见类型,其控制简单且精准。
在控制四相八拍步进电机时,需要考虑到步进电机的特性以及控制方法,以确保电机能够按照预期的步距和速度进行运转。
步进电机工作原理步进电机是一种电磁式电机,通过电流在驱动器中的控制,使电机旋转固定的步距。
四相八拍步进电机中,有四组线圈,每组线圈都可以独立控制,通过不同相位的脉冲信号来驱动。
当电流依次施加到不同的线圈上时,电机便能实现一步距的转动,从而完成旋转运动。
步进电机控制方法控制四相八拍步进电机主要有两种方法:单步进控制和微步进控制。
1.单步进控制:在单步进控制中,每次施加一个脉冲信号,使步进电机转动一个步距。
这种控制方法简单直接,适用于一些简单的应用场景,如需要电机做简单定位的场合。
2.微步进控制:微步进控制是一种更为精细的控制方法,通过在每个步距之间施加一定比例的电流,使电机实现更加平滑的运动。
这种控制方法可以提高步进电机的精度和稳定性,适用于对运动要求较高的场合。
步进电机控制流程控制四相八拍步进电机的基本流程如下:1.初始化:设置步进电机的参数,包括步距大小、速度、加减速度等。
2.发送控制信号:通过控制器向步进电机的驱动器发送相应的脉冲信号,控制电机转动。
3.监测电机状态:实时监测电机的位置和运动状态,确保电机按照预期进行运转。
4.控制结束:根据需要停止电机运动或者改变电机的运动方向。
1应用领域和优势四相八拍步进电机广泛应用于打印机、数控机床、纺织机械、医疗设备等领域。
由于其控制简单、结构紧凑、精度高等优点,步进电机在这些领域中得到了广泛的应用。
综上所述,四相八拍步进电机作为一种常见的电机类型,其控制方法简单且灵活,通过合理的控制可以实现精确的运动控制。
在实际应用中,需要根据具体情况选择合适的控制方法,并结合具体的控制流程来实现对步进电机的有效控制,从而满足不同应用场景对电机精度和稳定性的要求。
fpga 四相同步电机控制
FPGA四相同步电机控制一、简介四相同步电机(Four-Phase Stepping Motor)是一种常见的电机类型,它主要用于精密控制和定位应用。
本文将介绍如何使用FPGA(Field-Programmable Gate Array)来控制四相同步电机。
二、电机原理四相同步电机是一种定角度电机,它的运动是按照一定的步进角度进行的,每次步进角度称为步距角。
它有四个导线,对应四个电磁线圈,通过交替通电来产生磁场,从而驱动转子运动。
三、FPGA控制电路FPGA是一种可编程逻辑器件,可以按照需求进行配置和重新编程。
使用FPGA来控制四相同步电机可以实现高精度的控制和灵活的调试。
3.1 电机驱动模块首先,需要设计一个电机驱动模块,用于控制四个电磁线圈的通断。
可以使用FPGA的GPIO(General Purpose Input/Output)接口来控制电机驱动模块。
根据电机的步进角度,控制不同的线圈通断次序,实现电机的旋转。
3.2 时序控制为了保证电机的正常运行,需要进行时序控制。
通过FPGA的时钟信号和计数器,可以精确控制电机的步进角度和速度。
可以根据需求,设置不同的时钟频率和计数值,实现不同步距角和速度的控制。
3.3 位置反馈为了实现精准的定位控制,还需要添加位置反馈模块。
可以使用光电传感器等传感器对电机的位置进行监测,并将反馈信号传回FPGA进行处理。
通过实时的位置反馈,可以对电机进行闭环控制,实现更高的控制精度。
3.4 控制算法在FPGA中可以实现各种控制算法,例如PID控制算法。
PID控制算法可以根据电机的位置反馈信号和设定值,计算出合适的控制信号,用于调整电机驱动模块的控制。
通过不断地调整控制信号,可以使电机的位置达到所需的精确度。
四、应用场景FPGA控制的四相同步电机在工业自动化,机器人及CNC机床等领域具有广泛的应用。
它可以实现高精度的定位控制,能够满足复杂的工作环境和高要求的工作任务。
四相步进电机控制系统设计课程设计论文1 推荐
课程设计论文(设计)四相步进电机控制系统广东药学院电子信息工程课程设计说明书课程设计任务书题目: 四相步进电机控制系统的设计初始条件:1、基本要求自制稳压电源。
1)控制器能够驱动步进电机以四相四拍方式(步距1.8°)正向运转。
2)步进电机运行步数能够预置,每转一步自动减1,直到减到零,此时步进电机应能停止运转。
3)绕组驱动电流不低于0.1安培。
要求性能可靠、操作简便。
2、发挥部分1)步进电机还可以按四相相单四拍方式(步距1.8°)、四相八拍(步距0.9°)、四相双四拍(步距1.8°)工作。
2)步进电机还可以反向运转。
绕组驱动电流能够达到0.2安培。
由于步进电机价格比较昂贵,控制器的负载可以用低阻值的电阻代替,通过电阻的电流应符合要求,示波器观察脉冲序列应符号要求。
要求完成的主要任务:1.硬件设计:系统总原理图及各部分详细原理图2.软件设计:系统总体流程图、步进电机单四拍,双四拍,四相八拍各模块流程图、显示模块流程图等3.编写程序:能够完成上述任务4.完成符合要求的设计说明书时间安排:2013年6月25日~2013年7月2日指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)1设计任务及要求 (2)2方案论证 (2)2.1 设计思路与方案 (2)2.2总体设计框图 (3)3系统实现的原理说明 (4)3.1 步进电机控制工作原理 (4)3.1.1步进电机的工作原理 (4)3.1.2 步进电机的启停控制 (5)3.1.3 步进电机的转向控制 (5)3.2步数显示模块原理 (5)4硬件设计 (6)4.1系统总原理图 (6)4.2各部分硬件原理图设计 (6)4.2.1 单片机控制模块 (6)4.2.2按键选择工作状态模块 (7)4.2.3步进电机工作模块 (8)4.2.4工作状态显示模块 (8)4.2.5 4位数码管显示步数模块 (9)5软件设计 (11)5.1系统总体设计 (11)5.2步进电机工作模块 (12)5.2.1步进电机的工作方式说明 (12)5.2.2设计说明及流程图 (14)5.3数码管步数显示模块 (15)6仿真调试记录 (16)7心得体会 (16)附录:程序清单 (18)摘要本设计详细介绍了基于单片机的四相步进电机控制系统。
四相单四拍步进电机控制系统设计
计算机控制综合实验课程设计说明书学生姓名:学号:学院: 信息与通信工程学院专业: 自动化题目: 四相单四拍步进电机控制系统设计指导老师职称2011 年12 月26 日课程设计任务书11/12学年第一学期学院:信息与通信工程学院专业:自动化学生姓名:学号:课程设计题目:四相单四拍步进电机控制系统设计起迄日期: 2011年12 月26日~2012年1 月6日课程设计地点:指导教师:系主任:下达任务书日期: 2011 年 12 月 26日1.设计目的:设计一个计算机步进电机程序控制系统,可以对步进电机的转速、转向以及位置进行控制。
通过设计,掌握步进电机的工作原理、掌握步进电机控制系统的设计原理、设计步骤,进一步提高综合运用知识的能力。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等):(1)选择步进电机,设计接口电路和驱动电路,对步进电机进行控制。
(2)选择控制算法,编写控制程序,实现四相步进电机在单四拍工作方式下先正转90度,然后再反转60度,要求其速度可调,转向可控。
(3)写出设计说明书。
3. 设计工作任务及工作量的要求(包括课程设计计算说明书、图纸、实物样品等):(1)查阅资料,确定设计方案(2)选择器件,设计硬件电路,并画出原理图和PCB图(3)画出流程图,编写控制程序(4)撰写课程设计说明书4.主要参考文献:1 张艳兵,王忠庆。
计算机控制技术。
国防工业出版社2 顾德英,张健,马淑华.计算机控制技术【M】. 北京:北京邮电大学出版社,2006.3 华张家生. 电机原理与拖动基础【M】. 北京:北京邮电大学出版社,2006.成英,4张家生. 电机原理与拖动基础【M】. 北京:北京邮电大学出版社,2006.5.设计成果形式及要求:课程设计说明书1份原理图和PCB图各1份程序清单1份6.工作计划及进度:起迄日期工作内容11年12月26日~ 12月27 日 12月 28日~ 12月31日12年1月2日~ 1月3 日1月 3日~ 1月4 日1月 5日~ 1月6 日查阅资料,确定设计方案设计硬件电路画出流程图,编写控制程序撰写课程设计说明书课程设计答辩系主任审查意见:签字:年月日目录1 系统概述 (4)2 系统硬件设计 (5)2.1 系统硬件原理图 (5)2.2 各硬件单元功能说明及I/O口、功能键分配 (5)2.3 硬件连接图 (6)3 系统软件设计 (6)3.1 工作方式下的控制模型 (7)3.2 流程图 (9)4 结束语 (9)系统概述步进电动机是一种将电脉冲信号转换相应的角位移的特种电动机。
基于PLC的四相步进电机的控制
基于PLC四相步进电机的控制摘要: 随着步进电机的不断发展, PLC是当前自动化等诸多领域利用最多的控制设施,它使传统的继电器、计算机和通讯技术融合在一起,拥有操作十分方便、控制可靠性高等诸多特点,所以如何更好地使用PLC控制步进电机在工业自动化等多个领域中创造更大的效益变得极为重要。
本文采用了28ybj-48四相步进电机,以uln2003作为驱动电路,利用S7-200PLC进行正反转控制,使转动角度更加精确,减小步进电机工作时的抖动,减小误差。
关键词:PLC;步进电机;自动化控制Four phase stepping motor based on PLC control Abstract: With the continuous development of the stepper motor, PLC isthe automation and other areas by using the facilities of most of the control, it makes the traditional relay, computer and communication technology together, hasconvenient operation, high reliability control of many characteristics, so how to make better use of the PLC stepper motor control in many fields such asindustrial automation to create greater efficiency is very important to become.This paper uses 28ybj-48 four phase stepper motor, ULN2003 as drive circuit,positive inversion control usingS7-200PLC, so that the rotation angle is more accurate, reduce the step motor working jitter, error reduction.Keywords:PLC; stepper motor; automation control目录第一章绪论 (1)1.1 研究意义 (1)1.2 国内外发展应用情况 (1)1.2.1 步进电机方面 (1)1.2.2 PLC方面 (2)1.3 系统设计的主要任务 (2)第二章 PLC的综述与选择 (3)2.1 PLC的综述 (3)2.1.1 PLC的发展概述 (3)2.1.2 PLC的构成 (3)2.1.3 PLC 应用中需要注意的问题 (4)2.2 西门子s7-200PLC (4)2.2.1 西门子s7-200PLC基本情况 (4)2.2.2 西门子s7-200PLC的选型 (5)第三章步进电机的综述与选择 (7)3.1 步进电机的综述 (7)3.1.1 步进电机的发展简介 (7)3.1.2 步进电机的原理及术语 (8)3.1.3 步进电机的特点及应用注意事项 (8)3.2 四相步进电机的概述 (9)3.2.1 四相步进电机工作原理 (9)3.2.2 四相步进电机常见工作方式 (10)3.2.3 步进电机的选择 (10)第四章硬件设计 (11)4.1 系统框图 (11)4.2 电路设计图 (11)4.3 四相步进电机控制方式 (11)4.4 步进电机的驱动电路 (12)第五章程序的设计及软件仿真 (14)5.1 西门子STEP7-Micro/WIN32 V4.0的概述 (14)5.2 相应指令的介绍 (15)5.3 输入/输出元件及控制功能 (18)5.4程序梯形图 (18)5.5 应用仿真软件对s7-200进行仿真 (22)第六章结论 (24)参考文献 (25)第一章绪论1.1 研究意义随着人类工业社会的不断发展,在工业上的自动化水平在得到了十分快速的发展,在人类的生产和生活中扮演关键角色的传统电能转换装置的某些功能已经不能满足各种现代工业要求的运动控制系统,基于步进电动机具有控制精度高、可靠性高、使用方便等优点,步进电机已在自动化控制的多个领域得到了普遍的应用。
四相步进电机控制系统设计
《单片机课程设计》设计报告设计题目:四相步进电机控制系统设计系别:自动化工程系专业:测控技术与仪器班级学号:姓名:李建华指导教师:吕江涛张宝健设计时间:2011/12/29目录1 概述 (1)2 四项步进电机 (2)2.1步进电机 (2)2.2步进电机的控制 (2)2.3步进电机的工作过程 (2)3 电路图设计 (4)3.1 AT89S52概述 (4)3.2 最小系统 (4)3.3 复位电路 (5)3.4 拨码电路 (5)3.5 电机驱动电路 (6)4 程序设计 (7)4.1 主程序框图 (7)4.2 步进电机速度控制程序框图 (8)4.3 拨码开关输入程序框图 (10)5 总结 (11)5.1心得 (11)5.2 收获 (11)附录一源程序 (12)附录二电路原理图 (15)1概述东 北 大 学 秦 皇 岛 分 校 报 告 用 纸第 页本实验旨在通过控制AT89S52芯片,实现对四相步进电机的转动控制。
具体功能主要是控制电机正转、反转、加速与减速。
具体工作过程是:给试验箱上电后,拨动启动开关,步进电机按照预先设置的转速和转动方式转动。
调整正反转按钮,步进电机实现正反转切换;拨动加速开关,步进电机转速加快,速度达到最大值,不再加速;拨动减速开关时,电机减速转动,速度减到最小速度,停止减速。
实验具体用到的仪器:AT89S52(试验箱上为89C58)芯片、拨码开关单元、四项步进电机等硬件设备。
实验具体电路单元有:单片机最小系统、步进电机连接电路、拨码开关连接电路。
2 四项步进电机AT89S52 单片机步进电机 (驱动)独立按键键盘系统控制框图2.1步进电机步进电机是一种将电脉冲转化为角位移的执行机构。
电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。
2.2 步进电机的共组原理2.2步进电机的控制1.换相顺序控制:通电换相这一过程称为脉冲分配。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
四相步进电机定位控制系统四相步进电机定位控制系统功能概述步进电机每接收到一组脉冲数字信号,便旋转一个角度,成为步进角。
不同规格的步进电机的步进角不同,这决定于其内部的线圈数量。
线圈中的供应电流可以决定线圈所产生的磁场方向。
假设有两组线圈A 和B ,如图一所示。
A 线圈如果提供A 点低电位而A ′点高电位,电流由A ′螺旋向上流到A ,形成向上的磁场方向;同理,提供B 点低电位而B ′点高电位,电流由B ′螺旋流到B ,形成向左的磁场方向。
A 和B 这两组线圈形成的总磁场方向即为左上方。
如果将电动机的转子置于线圈所产生的磁场中,便会受到磁场的作用而产生与磁场方向一致的力,转子便开始转动,直到转子的磁场方向与线圈的磁场方向一致为止。
如图二所示。
由A 和B 两组线圈电流方向的排列组合,最多可以产生8种磁场方向,分别是0°、45°、90°、135°、180°、225°、270°、315°。
这些方向的电流方向列于表一。
图一 图二由表一可知,假设电动机转子刻度原先在0°的位置,想让其转到180°,就必须让端口信号依次由0001、0011、0010、0110到0100变化。
但是是否有更快的办法,是否一定要经过4个信号过程呢?其实有更快更省电的方式让电动机从0°达到180°的位置。
这就是所谓的激磁方式的不同。
四相电动机可以分为3种激磁方式。
表一:四相步进电机的8个方向和电流以及电压信号的关系180°270°1-相激磁法:当目标角度是90的整数倍时,采用这种方法。
例如要从0转到270,只要让端口信号的顺序为0000,0001,0010,0100,1000即可。
2-相激磁法:当目标角度是45而非90的整数倍时,可采用这种方法。
例如要从0转到225,只要让端口信号的顺序为0000,0011,0110,1100即可。
1-2-相混合激磁法:按照表二中所列的信号顺序。
四相步进电机定位控制系统的VHDL源码及注释--四相步进电机示例程序library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity step_motor isport (reset:in STD_LOGIC; --系统复位信号dir: in STD_LOGIC; --步进电机正反转方向控制开关(0:逆时针;1:顺时针)clk: in STD_LOGIC; --系统时钟(FPGA内部提供的4MHz的时钟信号)ini: in STD_LOGIC; --使能开关manner: in STD_LOGIC_VECTOR (1 downto 0); --激磁方式的选择开关(00:自动选择激磁方式;--01:1-相激磁;10:2-相激磁;11:1-2-相激磁)angle: in STD_LOGIC_VECTOR (7 downto 0); --步进角的倍数设定输入键baBA: out STD_LOGIC_VECTOR (3 downto 0)); --步进电机的状态输出end step_motor;architecture stepmotor_arch of step_motor issignal count: INTEGER range 0 to 7; --内部电路的计数累加器,用于产生输出所需对应的状态signal cntInc: INTEGER range -2 to 2; --设定累加器所需的累加/减计数值signal cntIni: INTEGER range -1 to 0; --设定累加器所需的计数初值signal angleDnCount: INTEGER range 255 downto 0; --设定步进角所需的计数次数signal angleDnCntDec: INTEGER range 2 downto 1; --设定步进角所需的累减计数值begin--步进电机方向设定电路模块该模块的功能是设定步进电机的旋转方向(顺时针或逆时针),并设定电机在顺时针转动或逆时针转动时所需的初值与累加/减值。
process(dir,manner,angle)beginif dir='0' thencase manner iswhen "01" => --1-相激磁cntIni<=0;cntInc<=2;angleDnCntDec<=2;when "10" => --2-相激磁cntIni<=-1;cntInc<=2;angleDnCntDec<=2;when "11" => --1-2-相激磁cntIni<=0;cntInc<=1;angleDnCntDec<=1;when others => --自动选择激磁方式--angle为偶数即角度为90的倍数时,采用1-相激磁,否则2-相激磁if (angle(0)='1') then --2-相激磁cntIni<=-1;cntInc<=2;angleDnCntDec<=2;else --1-相激磁cntIni<=0;cntInc<=2;angleDnCntDec<=2;end if;end case;elsecase manner iswhen "01" => --1-相激磁cntIni<=0;cntInc<=-2;angleDnCntDec<=2;--"10";when "10" => --2-相激磁cntIni<=-1;cntInc<=-2;angleDnCntDec<=2;--"10";when "11" => -- 1-2-相激磁cntIni<=0;cntInc<=-1;angleDnCntDec<=1;--"01";when others => --自动选择激磁方式if (angle(0)='1') then --2-相激磁cntIni<=-1;cntInc<=-2;angleDnCntDec<=2;else --1-相激磁cntIni<=0;cntInc<=-2;angleDnCntDec<=2;end if;end case;end if;end process;--步进电机步进移动与定位控制电路模块该模块的主要功能是利用ini(使能开关),将数值传到该模块中,并配合输入的clk(系统时钟)作为同步控制信号,进行步进电机的步进移动与定位控制。
counting_reset: process(reset,ini, angle, clk)beginif reset='1' then --复位低电平有效count<=0;angleDnCount<=0;elsif clk'event and clk='1' thenif ini='0' then --使能高电平有效count<=0+cntIni;angleDnCount<=CONV_INTEGER(angle);elsecount <= count+cntInc;if angleDnCount > angleDnCntDec then --判断是否已到达设定位置angleDnCount <= angleDnCount-angleDnCntDec;elseangleDnCount <= 0;end if;end if;end if;end process;--编码输出电路模块该模块的功能是将count与angledncount产生的数值经过编码,并利用baBA输出连线信号,将结果输出显示。
baBA <="0000" when angleDnCount=0 else"0001" when count=0 else"0011" when count=1 else"0010" when count=2 else"0110" when count=3 else"0100" when count=4 else"1100" when count=5 else"1000" when count=6 else"1001";-- when count>=7;end stepmotor_arch;四相步进电机定位控制系统的模块图四相步进电机定位控制系统的仿真结果⑴自动模式,顺时针,旋转角为45*11的情况:⑵自动模式,顺时针,旋转角为45*16的情况:⑶1-相激磁,逆时针,旋转角为45*7的情况:⑷1-相激磁,顺时针,旋转角为45*7的情况:⑸2-相激磁,逆时针,旋转角为45*17的情况:⑹2-相激磁,逆时针,旋转角为45*17的情况:⑺1-2-相激磁,顺时针,旋转角为45*11的情况:⑻1-2-相激磁,顺时针,旋转角为45*8的情况:直流电机速度控制系统直流电机速度控制系统功能概述驱动电路称为桥式驱动或是H 型驱动电路(如右图所示),采用的控制方法称为脉冲宽度调制法(PWM ),即利用晶体管on-off (导通-不导通)进行控制的方法。
使用FPGA 纯数字式的控制时,假设电机速度从静止开始加速,首先Q1、Q2必须维持导通一段时间,此时电机所承受的电压约为供电电压U ,称之为强加速。
待速度接近目标速度时,加速可以减缓,此时Q1、Q2和Q3、Q4轮流导通,只是Q1、Q2在一个周期内所导通的时间ton 比Q3、Q4导通的时间toff 长一些,此时称为弱加速。
任何时候,电机所承受的平均电压U0可表示为U0=U*(ton -toff )(ton +toff )。
如果速度已经达到目标,便可以调整ton 和toff 的时间比例使之相等,此时平均电压为零,称为定速控制。
由此可知,平均电压若为正值,是加速控制;负值时是减速控制;为0时即达到匀速。