简易数字频率计设计-现代电子设计课程设计报告

合集下载

简易频率计设计课程设计

简易频率计设计课程设计

简易频率计设计课程设计一、课程目标知识目标:1. 理解频率的概念,掌握频率的计算方法;2. 了解简易频率计的设计原理,掌握其制作步骤;3. 学会运用简易频率计进行实际测量,并能够分析实验数据。

技能目标:1. 培养学生动手操作能力,能够独立完成简易频率计的制作;2. 培养学生运用所学生物知识解决实际问题的能力,提高实验操作技能;3. 提高学生团队协作能力,能够在小组合作中共同完成实验任务。

情感态度价值观目标:1. 激发学生对科学研究的兴趣,培养其探索精神和创新意识;2. 培养学生严谨的科学态度,注重实验数据的准确性和实验操作的规范性;3. 增强学生的环保意识,使其关注生活中的频率现象及其应用。

本课程针对八年级学生,结合物理学科特点,以简易频率计设计为主题,旨在让学生在掌握基础知识的基础上,提高实践操作能力和团队合作能力。

课程目标具体、可衡量,便于学生和教师在教学过程中明确预期成果,为后续教学设计和评估提供依据。

二、教学内容1. 理论知识:- 频率的基本概念及其单位;- 频率计算公式及其应用;- 简易频率计的工作原理。

2. 实践操作:- 简易频率计的组装与调试;- 实际物体频率的测量与记录;- 实验数据的处理与分析。

3. 教学大纲安排:- 第一课时:学习频率的基本概念、计算公式及其单位,介绍简易频率计的工作原理;- 第二课时:分组讨论简易频率计的组装方法,进行实践操作;- 第三课时:学生独立完成简易频率计的组装与调试,进行实际物体频率的测量;- 第四课时:对实验数据进行处理和分析,总结实验结果。

教学内容参考教材《物理》八年级下册第二章《振动与波》的相关内容,结合课程目标进行组织,确保科学性和系统性。

教学内容涵盖理论与实践,注重培养学生的动手能力和实际应用能力,使学生在掌握基础知识的同时,能够将所学应用于实际生活中。

三、教学方法本课程采用以下多元化的教学方法,旨在激发学生的学习兴趣,提高学生的主动性和实践能力:1. 讲授法:- 通过生动的语言和形象的比喻,讲解频率的基本概念、计算公式及其单位,帮助学生建立扎实的理论基础;- 结合教材内容,阐述简易频率计的工作原理,使学生理解科学原理在实际应用中的重要性。

简易频率计设计课程设计

简易频率计设计课程设计

简易频率计设计课程设计一、教学目标本课程旨在通过学习简易频率计的设计与实现,让学生掌握基础的电子电路知识,频率测量原理以及相关的实验技能。

在知识目标上,要求学生能够理解并描述频率计的工作原理,掌握基本的电路设计方法。

在技能目标上,要求学生能够独立完成简易频率计的搭建,并进行相关实验。

在情感态度价值观目标上,通过课程的学习,使学生培养对科学研究的兴趣,增强解决实际问题的能力,并培养团队协作的精神。

二、教学内容本课程的教学内容主要包括以下几个部分:首先,介绍频率计的基本原理,包括频率测量原理,频率计的组成结构等;其次,讲解频率计的设计方法,包括电路设计,元件选型,系统调试等;然后,通过实验操作,使学生能够熟练使用简易频率计,掌握相关的实验技能;最后,进行课程设计,使学生能够将所学的知识运用到实际问题中,提高解决实际问题的能力。

三、教学方法为了达到本课程的教学目标,将采用多种教学方法相结合的方式进行教学。

首先,将采用讲授法,为学生讲解频率计的基本原理和设计方法;其次,将采用实验法,让学生通过实际操作,掌握频率计的使用方法和实验技能;同时,将采用讨论法,引导学生进行思考,提出问题,并寻找解决问题的方法;最后,将采用案例分析法,让学生通过分析实际案例,将所学的知识运用到实际问题中。

四、教学资源为了支持本课程的教学内容和教学方法的实施,将准备多种教学资源。

首先,将使用教材《简易频率计设计与实现》作为主要的教学资源;其次,将提供相关的参考书籍,供学生进行深入的学习;同时,将利用多媒体资料,如教学视频,实验操作演示等,丰富学生的学习体验;最后,将准备实验设备,如简易频率计,电子元件等,供学生进行实验操作。

五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分。

平时表现主要评估学生的课堂参与度、提问回答和团队协作等情况,占总分的30%。

作业主要评估学生的理解和应用能力,占总分的30%。

考试主要评估学生对课程知识的掌握和运用能力,占总分的40%。

简易频率计的设计课程设计

简易频率计的设计课程设计

简易频率计的设计课程设计一、课程目标知识目标:1. 理解并掌握频率的概念,了解频率在电子技术中的应用。

2. 学习简易频率计的设计原理,掌握相关电子元件的功能和连接方式。

3. 掌握简易频率计的电路图绘制方法,理解电路工作原理。

技能目标:1. 能够运用所学知识,设计并搭建一个简易频率计电路。

2. 学会使用相关测量工具和仪器,对简易频率计进行调试和优化。

3. 提高动手实践能力,培养解决实际问题的能力。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识。

2. 培养学生团队合作精神,学会分享和交流。

3. 增强学生环保意识,养成爱护电子设备的好习惯。

本课程针对初中年级学生,结合电子技术基础知识,设计简易频率计课程。

通过本课程的学习,学生能够掌握频率相关知识,提高动手实践能力,培养创新意识和团队合作精神。

课程目标具体、可衡量,为后续教学设计和评估提供明确方向。

在教学过程中,注重理论与实践相结合,充分调动学生的主观能动性,培养符合时代需求的技能型人才。

二、教学内容1. 频率概念及其应用:介绍频率的定义、单位,频率在电子技术中的应用。

相关教材章节:第一章第三节“频率与周期”2. 简易频率计设计原理:讲解简易频率计的工作原理,分析电路中各元件的作用。

相关教材章节:第二章第五节“简易频率计的设计与应用”3. 电子元件及电路连接:学习常用电子元件的功能、符号及使用方法,掌握电路连接技巧。

相关教材章节:第二章第一节“常用电子元件”和第二节“电路的连接方法”4. 简易频率计电路图绘制:学习电路图的绘制方法,根据设计原理绘制简易频率计电路图。

相关教材章节:第二章第四节“电路图的绘制”5. 电路搭建与调试:动手搭建简易频率计电路,使用测量工具进行调试,优化电路性能。

相关教材章节:第三章第二节“电路搭建与调试方法”6. 实践操作与总结:分组进行实践操作,交流心得体会,总结课程所学内容。

相关教材章节:第三章第三节“实践操作与总结”教学内容安排和进度:第一课时:频率概念及其应用,简易频率计设计原理第二课时:电子元件及电路连接,简易频率计电路图绘制第三课时:电路搭建与调试,实践操作与总结教学内容科学系统,注重理论与实践相结合,旨在帮助学生掌握简易频率计的设计与应用,培养动手实践能力和创新意识。

电子课程设计简单频率计

电子课程设计简单频率计

电子课程设计简单频率计一、教学目标本课程旨在通过学习电子课程设计简单频率计,让学生掌握基本的电子电路知识,培养学生运用科学的方法和技能进行电子设计与制作的实践能力。

同时,通过课程的学习,使学生认识到电子技术在现代社会中的广泛应用,培养学生的创新精神和团队合作意识。

具体的教学目标如下:1.了解频率计的基本原理和结构;2.掌握常用的电子元器件的功能和用途;3.学习简单的电子电路设计方法。

4.能够运用万用表、示波器等仪器进行电子电路的测试;5.能够根据设计要求,选用合适的元器件,进行简单的电子电路设计与制作;6.能够对电子电路进行调试和故障排除。

情感态度价值观目标:1.培养学生对电子技术的兴趣和好奇心,激发学生的学习热情;2.培养学生团队合作、积极探究的科学精神;3.使学生认识到电子技术在现代社会中的重要性,增强学生的社会责任感和使命感。

二、教学内容本课程的教学内容主要包括以下几个部分:1.频率计的基本原理和结构;2.常用的电子元器件的功能和用途;3.简单的电子电路设计方法;4.电子电路的制作与调试技巧。

具体的教学安排如下:第一课时:介绍频率计的基本原理和结构;第二课时:学习常用的电子元器件的功能和用途;第三课时:学习简单的电子电路设计方法;第四课时:进行电子电路的制作与调试实践。

三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学。

具体包括:1.讲授法:讲解频率计的基本原理和结构,电子元器件的功能和用途,以及电子电路的设计方法;2.实验法:通过实际操作,让学生掌握电子电路的制作和调试技巧;3.小组讨论法:引导学生进行团队合作,共同探讨和解决问题。

四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:《电子技术基础》;2.参考书:电子元器件手册、电子电路设计手册等;3.多媒体资料:电子电路原理图、实验操作视频等;4.实验设备:电子实验板、万用表、示波器等。

五、教学评估本课程的教学评估将采用多元化的评价方式,以全面、客观、公正地评估学生的学习成果。

简易频率计课程设计

简易频率计课程设计

简易频率计课程设计一、课程目标知识目标:1. 学生能理解频率的基本概念,掌握频率的计算公式。

2. 学生能了解简易频率计的原理,明白其工作过程。

3. 学生掌握如何使用简易频率计进行实验,并能正确读取实验数据。

技能目标:1. 学生能够运用所学知识,动手搭建简易频率计,提高动手实践能力。

2. 学生能够运用计算器或编程软件进行频率的计算,提高数据处理能力。

3. 学生能够通过实验,观察现象,分析问题,培养科学探究能力。

情感态度价值观目标:1. 学生对物理学科产生兴趣,认识到物理知识在实际生活中的应用。

2. 学生养成合作学习的习惯,学会与他人分享实验成果,培养团队精神。

3. 学生通过实验,培养严谨的科学态度和探究精神,树立正确的价值观。

课程性质:本课程为物理学科实验课程,旨在通过实践操作,让学生深入了解频率相关知识。

学生特点:学生为八年级学生,已具备一定的物理知识基础,动手实践能力较强,对新鲜事物充满好奇心。

教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,鼓励学生积极参与实验,培养学生的实践能力和科学素养。

通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高解决问题的能力。

二、教学内容1. 理论知识:- 频率的基本概念:频率的定义、单位、与周期的关系。

- 频率计算公式:频率=1/周期。

- 简易频率计的原理:利用电子元件(如555定时器)产生稳定的方波信号,通过计数器进行计数,计算频率。

2. 实践操作:- 搭建简易频率计电路:学生分组进行实验,根据电路图搭建简易频率计。

- 实验操作步骤:调整信号发生器产生不同频率的信号,使用简易频率计进行测量,记录数据。

3. 教学大纲:- 第一课时:讲解频率的基本概念,让学生了解频率的定义和单位,学会计算频率。

- 第二课时:介绍简易频率计的原理,引导学生学习电路图,了解各元件的作用。

- 第三课时:分组实验,学生动手搭建简易频率计,进行频率测量,记录实验数据。

简易数字频率计(数字电路课程设计)

简易数字频率计(数字电路课程设计)

数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1H Z—9.999K H Z,闸门时间1s;10 H Z—99.99K H Z,闸门时间0.1s;100 H Z—999.9K H Z,闸门时间10ms;1 K H Z—9999K H Z,闸门时间1ms;2)显示方式:四位十进制数3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:(1)整体电路数显式频率计电路(2)单元电路设计;(a)时基电路信号号(b)放大逻辑电路信号通信号(c)计数、译码、驱动电路号(3)说明电路工作原理;四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。

两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。

当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。

当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。

其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。

时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。

计数公式:]3)2243[(443.1CRRRf++=来确定。

与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。

数字频率计课程设计报告

数字频率计课程设计报告

数字频率计课程设计报告一、课程目标知识目标:1. 让学生理解数字频率计的基本原理,掌握频率、周期等基本概念;2. 使学生掌握数字频率计的使用方法,能够正确操作仪器进行频率测量;3. 引导学生运用已学的数学知识,对测量数据进行处理,得出正确结论。

技能目标:1. 培养学生动手操作仪器的技能,提高实验操作能力;2. 培养学生运用数学知识解决实际问题的能力,提高数据分析处理技能;3. 培养学生团队协作能力,提高实验过程中的沟通与交流技巧。

情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学习热情;2. 培养学生严谨的科学态度,养成实验过程中认真观察、准确记录的好习惯;3. 引导学生认识到物理知识在实际应用中的价值,提高学以致用的意识。

课程性质:本课程为物理实验课,结合数字频率计的原理与应用,培养学生的实践操作能力和数据分析能力。

学生特点:六年级学生具备一定的物理知识和数学基础,对实验操作充满好奇,具备初步的团队合作能力。

教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,引导学生主动参与实验过程,培养其动手能力和解决问题的能力。

通过课程目标的分解,使学生在实验过程中达到预期的学习成果,为后续教学设计和评估提供依据。

二、教学内容1. 数字频率计基本原理:- 频率、周期的定义与关系;- 数字频率计的工作原理;- 数字频率计的测量方法。

2. 实验操作技能:- 数字频率计的操作步骤;- 实验过程中的注意事项;- 数据记录与处理方法。

3. 教学大纲:- 第一课时:介绍数字频率计的基本原理,让学生了解频率、周期的概念及其关系;- 第二课时:讲解数字频率计的工作原理,引导学生掌握其操作方法;- 第三课时:分组进行实验操作,让学生动手测量不同频率的信号;- 第四课时:对测量数据进行处理与分析,培养学生数据分析能力;- 第五课时:总结实验结果,讨论实验过程中遇到的问题及解决办法。

4. 教材章节:- 《物理》六年级下册:第六章《频率与波长》;- 《物理实验》六年级下册:实验八《数字频率计的使用》。

简易数字频率计设计报告

简易数字频率计设计报告

根据系统设计要求, 需要实现一个 4 位十进制数字频率计, 其原理框 图如图 1 所示。

主要由脉冲发生器电路、 测频控制信号发生器电路、 待测 信号计数模块电路、 锁存器、 七段译码驱动电路及扫描显示电路等模块组 成。

由于是4位十进制数字频率计, 所以计数器CNT10需用4个,7段显示译 码器也需用4个。

频率测量的基本原理是计算每秒钟内待测信号的脉冲个 数。

为此,测频控制信号发生器 F_IN_CNT 应设置一个控制信号时钟CLK , 一个计数使能信号输出端EN 、一个与EN 输出信号反 向的锁存输出信号 LOCK 和清零输出信号CLR 。

若CLK 的输入频率为1HZ ,则输出信号端EN 输出 一个脉宽恰好为1秒的周期信号, 可以 作为闸门信号用。

由它对频率计的 每一个计数器的使能端进行同步控制。

当EN 高电平时允许计数, 低电平时 住手计数,并保持所计的数。

在住手计数期间,锁存信号LOCK 的上跳沿 将计数器在前1秒钟的计数值锁存进4位锁存器LOCK ,由7段译码器译出 并稳定显示。

设置锁存器的好处是: 显示的数据稳定, 不会由于周期性的标准时钟 CLKEN待测信号计数电路脉冲发 生器待测信号F_INLOCK锁存与译 码显示驱 动电路测频控制信 号发生电路CLR扫描控制数码显示清零信号而不断闪烁。

锁存信号之后,清零信号CLR对计数器进行清零,为下1秒钟的计数操作作准备。

时基产生与测频时序控制电路主要产生计数允许信号EN、清零信号CLR 和锁存信号LOCK。

其VHDL 程序清单如下:--CLK_SX_CTRLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CLK_SX_CTRL ISPORT(CLK: IN STD_LOGIC;LOCK: OUT STD_LOGIC;EN: OUT STD_LOGIC;CLR: OUT STD_LOGIC);END;ARCHITECTURE ART OF CLK_SX_CTRL ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF(CLK'EVENT AND CLK='1')THENIF Q="1111"THENQ<="0000";ELSEQ<=Q+'1';END IF;END IF;EN<=NOT Q(3);LOCK<=Q(3)AND NOT(Q(2))AND Q(1);CLR<=Q(3)AND Q(2)AND NOT(Q(1));END PROCESS;END ART;测频时序控制电路:为实现系统功能,控制电路模块需输出三个信号:一是控制计数器允许对被测信号计数的信号EN;二是将前一秒计数器的计数值存入锁存的锁存信号LOCK;三是为下一个周期计数做准备的计数器清零信号CLR。

课程设计实验报告(简易频率计)

课程设计实验报告(简易频率计)

实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。

(2)掌握ispLEVER 软件的使用方法。

(3)掌握用VHDL 语言设计数字逻辑电路。

(4)掌握ISP 器件的使用。

二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。

闸门只有1s 一档。

测量结果在数码管上显示出来。

不测信号脉宽。

用一片ISP芯片实现此设计,并在实验台上完成调试。

实验设计:1.产生准确闸门信号(1s)。

100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。

6.数码管高低位的接线。

VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。

简易频率计课程设计报告

简易频率计课程设计报告

简易频率计课程设计报告一、课程目标知识目标:1. 理解频率的基本概念,掌握频率的定义及计算方法;2. 了解简易频率计的原理,学会使用简易频率计进行频率测量;3. 能够运用频率知识解释日常生活中的相关现象。

技能目标:1. 学会使用简易频率计进行实验操作,提高实验操作能力;2. 能够运用频率计算公式进行数据处理,提高数据分析能力;3. 通过小组合作,提高沟通协作能力。

情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学生的探究欲望;2. 培养学生严谨的科学态度,注重实验数据的准确性;3. 增强学生的环保意识,关注频率相关领域的科技发展。

本课程针对初中物理学科,结合学生年级特点,注重理论与实践相结合,提高学生的实验操作能力和数据分析能力。

在教学过程中,关注学生的个体差异,激发学生的学习兴趣,培养学生的团队合作精神。

通过本课程的学习,使学生能够掌握简易频率计的使用,并将其应用于实际生活中,达到学以致用的目的。

同时,注重情感态度价值观的培养,引导学生关注科学进步,提高学生的综合素质。

二、教学内容1. 频率基本概念:引入频率的定义,解释频率与周期的关系,阐述频率在实际应用中的重要性。

2. 简易频率计原理:介绍简易频率计的结构、工作原理及使用方法,结合教材相关章节,进行图文并茂的讲解。

3. 频率测量实验:组织学生进行简易频率计的实验操作,包括搭建实验装置、进行频率测量以及数据处理。

- 教材章节:第三章第三节《频率与振动》- 内容列举:频率的定义、频率与周期的关系、简易频率计的结构与原理、实验操作步骤。

4. 数据处理与分析:指导学生运用频率计算公式进行数据处理,分析实验结果,探讨影响频率测量结果的因素。

5. 课堂讨论与总结:针对实验过程中遇到的问题和现象,组织学生进行讨论,引导学生运用所学知识进行解释,总结实验经验和教训。

教学内容根据课程目标进行科学性和系统性的组织,注重理论与实践相结合。

在教学过程中,依据教材章节进行教学大纲的制定,明确教学内容的安排和进度,确保学生在掌握频率知识的基础上,能够顺利进行简易频率计的实验操作和数据分析。

简易数字频率计课程设计报告

简易数字频率计课程设计报告

一、课题名称与技术要求<1>名称:简易数字频率计<2>主要技术指标和要求:1. 被测信号的频率X围100HZ~100KH2. 输入信号为正弦信号或方波信号3. 四位数码管显示所测频率,并用发光二极管表示单位4. 具有超量程报警功能二、摘要以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。

放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间内进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。

关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路三、方案论证与选择<1>频率测量原理与方法对周期信号的测量方法,常用的有下述几种方法。

1、测频法(M法)对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。

TG为标准闸门宽度,N1是计数器计出的脉冲个数,设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。

因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。

但是,增大TG会使频率测量的响应时间长。

当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。

简易频率计课程设计

简易频率计课程设计

简易频率计课程设计一、课程目标知识目标:1. 学生能理解频率计的基本工作原理,掌握其电路组成和功能。

2. 学生能运用已学过的电子元件,设计并搭建一个简易频率计。

3. 学生能够掌握频率、周期等基本概念,并了解它们在实际应用中的重要性。

技能目标:1. 学生通过动手实践,提高电子电路搭建和调试的能力。

2. 学生能够运用所学知识解决实际问题,培养创新思维和动手能力。

3. 学生学会查阅相关资料,提高自主学习能力。

情感态度价值观目标:1. 学生在课程学习过程中,培养对电子技术的兴趣,激发探究精神。

2. 学生在团队合作中,学会互相尊重、沟通与协作,培养团队精神。

3. 学生能够认识到科技对社会发展的作用,增强社会责任感和创新意识。

课程性质:本课程为实践性课程,结合理论教学,注重培养学生的动手能力和创新思维。

学生特点:本年级学生具备一定的电子基础知识,对新鲜事物充满好奇,喜欢动手实践。

教学要求:教师需结合课本知识,引导学生主动参与课程,关注学生个体差异,鼓励学生提出问题和解决问题。

在教学过程中,注重理论与实践相结合,培养学生的实际操作能力。

通过课程目标的实现,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。

二、教学内容本课程教学内容围绕以下三个方面进行组织:1. 理论知识:- 介绍频率、周期、频率计的基本概念和原理。

- 分析简易频率计的电路组成和功能,包括时钟电路、计数器、显示电路等。

2. 实践操作:- 指导学生使用面包板搭建简易频率计电路。

- 教学内容包括电子元件的选择、电路连接、调试和测试等。

3. 教学案例与拓展:- 结合课本案例,分析实际应用中的频率计,如心跳频率计、转速表等。

- 探讨频率计在生活中的应用,激发学生对电子技术的兴趣。

教学大纲安排如下:1. 第一课时:导入课程,介绍频率计的基本概念和原理,分析电路组成。

2. 第二课时:讲解实践操作步骤,指导学生进行电路搭建和调试。

3. 第三课时:展示和讨论教学案例,进行课程总结和拓展。

简易数字频率计课程设计报告

简易数字频率计课程设计报告

简易数字频率计课程设计报告《简易数字频率计课程设计报告》一、设计目的和背景随着科技的不断发展和普及,计算机已经成为人们生活中不可或缺的一部分。

而数字频率计作为一种常见的电子测量仪器,在工业控制、电信通讯等领域有着广泛的应用。

本课程设计旨在通过设计一款简易的数字频率计,以帮助学生深入了解数字频率计的工作原理和设计方法。

二、设计内容和步骤1. 学习数字频率计的基本原理和工作方式:介绍数字频率计的基本功能、硬件组成和工作原理。

2. 设计数字频率计的主要电路:通过研究数字频率计的电路原理图,设计出适用于本设计要求的主要电路。

3. 制作数字频率计的原型:使用电子元器件将电路图中设计的电路进行实际制作,制作出数字频率计的原型。

4. 测试数字频率计的性能:通过对数字频率计进行各种频率波形的测试,验证其测量准确性和稳定性。

5. 优化和改进设计:根据测试结果和用户反馈,对数字频率计的电路和功能进行进一步优化和改进。

三、预期效果和评价标准通过本课程设计,预期学生能够掌握数字频率计的基本工作原理、主要电路设计和制作方法,并且能够针对实际需求进行优化和改进。

评价标准主要包括学生对数字频率计原理的理解程度、电路设计的准确性和创新性,以及对数字频率计性能进行测试和改进的能力。

四、开展方式和时间安排本课程设计可以结合理论学习和实践操作进行,建议分为以下几个阶段进行:1. 第一阶段(1周):学习数字频率计的基本原理和工作方式。

2. 第二阶段(1周):设计数字频率计的主要电路。

3. 第三阶段(2周):制作数字频率计的原型,并进行性能测试。

4. 第四阶段(1周):优化和改进数字频率计的设计。

总共需要约5周的时间来完成整个课程设计。

五、所需资源和设备1. 教材教辅资料:提供数字频率计的基本原理和电路设计方法的教材或教辅资料。

2. 实验设备和工具:数字频率计的主要电路所需的电子元器件、测试仪器和焊接工具等。

3. 实验环境:提供安全、稳定的实验室环境,以及必要的计算机软件支持。

简易数字频率计课程设计报告 .

简易数字频率计课程设计报告  .

目录第一章概述1.1 数字频率计功能及特点1.2 数字频率计应用意义第二章设计方案2.1 设计指标与要求2.2 设计原理2.3方案论证第三章数字频率计分析及参数设计3.1 电路基本原理3.2 时基电路设计3.3闸门电路设计3.4控制电路设计3.5 小数点显示电路设计3.6 整体电路图第四章设计总结4.1 整体电路图4.2 元器件列表4.3 设计心得与体会4.4 附录4.5 参考文献第一章、概述数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。

它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。

经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。

因此数字频率计在测量其他物理量如转速、振动频率等方面获得广泛应用。

1.1 整体功能及特点1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。

2,测量信号复制范围0.5-5v3,显示方式:四维十进制LED显示4,测量范围:1HZ-10HZ5,测量误差:≤±0.1%6,自动检测切换量程1.2 数字频率计应用意义数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。

数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。

数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。

一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。

数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个领域。

第二章设计方案2.1 设计指标与要求2.1.1 设计指标1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。

数字频率计课程设计报告

数字频率计课程设计报告

THANKS
精度
精度是数字频率计的重要指标之一, 表示测量结果与真实值之间的接近程 度。提高精度的方法包括采用高精度 计数器、降低系统误差等。
分辨率
分辨率指数字频率计能够分辨的最小 频率间隔,与计数器的位数有关。
稳定性
稳定性指数字频率计在长时间使用过 程中保持其性能参数不变的能力。提 高稳定性的措施包括选用优质元器件 、优化电路设计等。
计数与显示
采用高速计数器对输入信号的脉冲进行计数,同 时将计数值实时显示在数码管或液晶屏幕上。
3
控制与处理
通过微处理器或单片机等控制核心,实现计数器 的启动、停止、清零等操作,并对计数值进行处 理,得到频率值。
关键技术参数
计数范围
数字频率计的计数范围决定了其能够 测量的频率范围,一般应满足实际需 求。
显示器
选用LED或LCD显示器,用于显示测量结果的频率值。
硬件电路图设计
电源电路
设计稳定的电源电路 ,为整个系统提供所 需的工作电压。
输入信号调理电路
根据实际需求设计输 入信号调理电路,包 括放大倍数、滤波截 止频率等参数的确定 。
微控制器电路
设计微控制器的最小 系统电路,包括晶振 、复位电路等。
02
数字频率计基本原理
频率定义及测量方法
频率定义
频率是单位时间内周期性信号重复的 次数,通常以赫兹(Hz)为单位表示 。
测量方法
频率的测量可以通过计数单位时间内 信号周期的个数来实现。常见的测量 方法包括直接计数法、测周法和等精 度测频法。
数字频率计工作原理
1 2
输入信号处理
数字频率计首先接收输入信号,经过放大、整形 等处理,将其转换为适合计数的脉冲信号。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

河南科技大学课程设计说明书课程名称__现代电子系统课程设计__题目___简易数字频率计设计__学院___电子信息工程学院___班级_电子信息科学与技术091班_学生姓名_____李可以______指导教师__齐晶晶、张雷鸣___日期2012.12.21课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名李可以专业班级电信科091 设计题目简易数字频率计设计一、课程设计目的掌握高速AD的使用方法;掌握频率计的工作原理;掌握GW48_SOPC实验箱的使用方法;了解基于FPGA的电子系统的设计方法。

二、设计内容、技术条件和要求设计一个具有如下功能的简易频率计。

(1)基本要求:a.被测信号的频率范围为1~20kHz,用4位数码管显示数据,十进制数值显示。

b.被测信号为幅值1~3V的方波、脉冲信号。

c.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。

d.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。

(2)发挥部分a.修改设计,实现自动切换量程。

b.扩宽被测信号能测量正弦波、三角波。

c.其它。

三、时间进度安排布置课题和讲解:1天查阅资料、设计:4天实验:3天撰写报告:2天四、主要参考文献何小艇《电子系统设计》浙江大学出版社 2008.1潘松黄继业《EDA技术实用教程》科学出版社 2006.10齐晶晶《现代电子系统设计》实验指导书电工电子实验教学中心 2009.8指导教师签字: 2012年 12月3日摘要频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。

随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。

将使整个系统大大简化。

提高整体的性能和可靠性。

在本文中,我们设计了一个简易数字频率计。

主要分为如下几个部分:A/D模块:用硬件描述语言写一个状态机,控制ADC0809芯片正常工作,使输入的被测模拟信号经过ADC0809芯片处理,转化为数字信号。

比较整形模块:将A/D转换出来的数字信号通过比较,高于阈值的为1低于阈值的为0从而将八位数字信号转换为脉冲便于频率计算。

频率测量模块:常用的频率测量方法有很多。

有计数法和计时法,等精度法等,具体的方案论证将在下面进行。

占空比计算模块:计算脉冲波占空比,具体的方案论证将在下面进行。

选择显示模块:由于只有四位数码管显示,所以用一个二选一选择器,通过一个按键控制四位数码管显示的内容是频率还是占空比。

关键词:数字频率计、模块、占空比、数字信号、测量、阈值目录一.任务解析 (3)二.总体方案与比较论证 (4)2.1方案选择 (5)2.2频率测量方法方案论症 (5)三.系统结构 (8)3.1 A/D模块设计 (9)3.2比较整形模块设计 (11)3.3频率测量模块设计 (12)3.4占空比计算模块设计 (13)3.5选择显示模块设计 (15)四.硬件验证 (16)五.误差分析 (17)六.心得体会 (18)七.参考文献 (18)八.程序附录 (19)一.任务解析本次课程设计的我利用verilog语言设计一个简易数字频率计。

频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值及脉冲的占空比。

其扩展功能可以实现自动切换量程,使整形时,以实现扩宽被测信号的幅值范围。

数字频率计的整体系统原理框图如图1,被测信号为外部输入信号,送入测量电路经过数字整形、测量计算、数据锁存和送显。

就完成了频率计的基本功能,控制选择用来实现频率的计算频率和计算占空比功能的选择。

图1二.总体方案与比较论证数字频率计在具体是现实时,可以采用单片机或者FPGA来实现,具体有如下方案:方案一:采用FPGA与单片机为核心来实现,可以利用FPGA来实现在单片机系统中的计数部分。

这样可以节省单片机的系统资源,考虑到在下面的相移网络设计中也需要利用单片机和FPGA,但单片机的系统资源相对有限,因此我们建议在这里单片机主要用于控制,而FPGA则可以用来进行计数之类的事情。

简单原理图如图2图2方案二:全部利用FPGA来实现,即利用FPGA产生时钟。

由于FPGA的工作频率比单片机的高很多,因此可以考虑直接利用FPGA产生信号的高频参考频率,FPGA处理速度快,得到的频率,占空比相对稳定,精度高,容易实现高频测量。

缺点是编程较为复杂,实现控制相对复杂。

简单原理图如图3图32.1方案选择:方案一虽然可行,但是由于在实验室现有的FPGA实验板上无法将单片机运用在系统中,因此不能用。

方案二不仅符合此次课程设计的要求,且在实验室的条件下均能实现,所以我们选择方案二作为本次课程设计的方案。

2.2频率测量方法方案论证所谓“频率”,就是周期性信号在单位时间(秒)内变化的次数。

若在一定的时间间隔T内计数,计得某周期性信号的重复变化次数为N,则该信号的频率可表达为:f = N / T所以测量频率就要分别知道N和T的值,由此,测量频率的方法一般有三种:测频方法、测周方法和等精度测量。

2.2.1测频方法这种方法即已知时基信号(频率或周期确定)做门控信号,T为已知量,然后在门控信号有效的时间段内进行输入脉冲的计数,原理图如下图所示:图 2-2-1 测频方法原理图首先,被测信号①(以正弦波为例)经过放大整形后转变成方波脉冲②,其重复频率等于被测信号频率。

把方波脉冲②加到闸门的输入端。

由一个高稳定的石英振荡器和一系列数字分频器组成了时基信号发生器,它输出时间基准(或频率基准)信号③去控制门控电路形成门控信号④,门控信号的作用时间T是非常准确的(由石英振荡器决定)。

门控信号控制闸门的开与闭,只有在闸门开通的时间内,方波脉冲②才能通过闸门成为被计数的脉冲⑤由计数器计数。

闸门开通的时间称为闸门时间,其长度等于门控信号作用时间T。

比如,时间基准信号的重复周期为1S,加到闸门的门控信号作用时间T亦准确地等于1S,即闸门的开通时间——“闸门时间”为1S。

在这一段时间内,若计数器计得N=100000个数,根据公式f = N / T,那么被测频率就是100000Hz。

如果计数式频率计的显示器单位为“KHz”,则显示100.000KHz,即小数点定位在第三位。

不难设想,若将闸门时间设为T=0.1S,则计数值为10000,这时,显示器的小数点只要根据闸门时间T的改变也随之自动往右移动一位(自动定位),那么,显示的结果为100.00Khz。

在计数式数字频率计中,通过选择不同的闸门时间,可以改变频率计的测量范围和测量精度。

2.2.2测周方法测周方法即:被测信号(频率或周期待测)做门控信号,T为未知量,做门控信号T,然后在门控信号有效的时间段内对时基信号脉冲计数,原理图如下图所示:图 2-2-2 测周方法原理图计数器测周的基本原理刚好与测频相反,即由被测信号控制主门开门,而用时标脉冲进行计数,所以实质上也是一种比较测量方法。

2.2.3等精度测量法等精度测量法的核心思想是通过闸门信号与被测信号同步,将闸门时间τ控制为被测信号周期长度的整数倍。

测量时,先打开预置闸门,当检测到被测信号脉冲沿到达时,标准信号时钟开始计数。

预置闸门关闭时,标准信号并不立即停止计数,而是等检测到被测信号脉冲沿到达时才停止,完成被测信号整数个周期的测量。

测量的实际闸门时间可能会与预置闸门时间不完全相同,但最大差值不会超过被测信号的一个周期。

在等精度测量法中,相对误差与被测信号本身的频率特性无关,即对整个测量域而言,测量精度相等,因而称之为“等精度测量”。

标准信号的计数值越f可以提高测量精大则测量相对误差越小,即提高门限时间τ和标准信号频率c度。

在精度不变的情况下,提高标准信号频率可以缩短门限时间,提高测量速度。

原理图如下:三.系统结构根据本次课程设计的要求,我将这次的频率计功能实现分为五个模块:3.1、A/D模块3.2、比较整形模块3.3、频率测量模块3.4、占空比计算模块3.5、选择显示模块系统结构如图6:图3其中频率测量模块的基准信号为1HZ,而占空比计算模块的基准信号为3MHZ, 其中AD转换模块也需要时钟,由于ADC0809是低速转换器,所以基准信号为1MHZ即可,由于试验箱时钟信号源资源比较丰富,所以可以直接用FPGA的3个引脚引入时钟就行,所以不需要分频模块。

下面是各个模块的具体描述与功能:3.1 A/D模块设计A/D模块的主要功能就是控制ADC0809芯片正常工作进行被测模拟信号的采集和数字化的过程,ADC0809是美国国家半导体公司生产的CMOS工艺8通道,8位逐次逼近式A/D转换器。

其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换。

是目前国内应用最广泛的8位通用A/D芯片。

其主要控制信号:START是转换启动信号,高电平有效;ALE是3位通道选择地址信号的锁存信号。

当模拟量送至某一输入端,由3位地址信号选择,而地址信号由ALE锁存;EOC是转换情况状态信号;在EOC的上升沿后,若使输出使能信号OE为高电平,则打开三态缓冲期,把转换好的8位数据结果输至数据总线。

其实按照本次课程设计的要求,此次频率计应该使用一个高速AD芯片,但是由于实验条件,我们只能用0809这个慢速的AD,所以本次课程设计的测频范围就大大缩小了,测频范围大概只能从1Hz到4KHz。

ADC0809的工作过程:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。

此地址经译码选通8路模拟输入之一到比较器。

START上升沿将逐次逼近寄存器复位。

下降沿启动 A/D转换,之后EOC输出信号变低,指示转换正在进行。

直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。

当OE输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上,至此ADC0809的一次转换结束。

下面是控制ADC0809采样状态图:图7用VHDL语言写了一个状态机来控制ADC0809正常工作采集转化被测信号,下面是A/D模块的封装图以及仿真波形图:图8图9由仿真图可也看出状态机能够控制ADC0809芯片正常工作完成被测信号的数字化处理。

3.2 比较整形模块设计比较整形模块的输入信号是经过0809数字化的被测信号。

比较整形模块的作用就是将输入的八位信号转化为误差较小的高低电平,形成脉冲信号。

原理就是在设置一个阈值,当输入的信号高于这个阈值时输出高电平,当输入的信号低于阈值时输出低电平。

这样就可以把被测的信号转化为脉冲信号,然后送入频率计算电路就能方便地计算出被测信号的频率。

相关文档
最新文档