数字逻辑电路设计(第二版 鲍可进)
数字电路与逻辑设计(第二版)章图文 (2)
第2章 组合逻辑电路
2.1 集成门电路 2.2 组合逻辑电路的分析和设计 2.3 组合逻辑电路中的竞争-冒险
第2章 组合逻辑电路
2.1 集成门电路
2.1.1 TTL门电路 TTL门电路由双极型三极管构成,它的特点是速度
快、抗静电能力强、集成度低、功耗大,目前广泛应用 于中、小规模集成电路中。TTL门电路有74(商用) 和54(军用)两大系列,每个系列中又有若干子系列,例 如,74系列包含如下基本子系列:
4)传输延时tP 传输延时tP指输入变化引起输出变化所需的时间,它 是衡量逻辑电路工作速度的重要指标。传输延时越短, 工作速度越快,工作频率越高。tPHL指输出由高电平变 为低电平时,输入脉冲的指定参考点(一般为中点)到 输出脉冲的相应指定参考点的时间。tPLH指输出由低电 平变为高电平时,输入脉冲的指定参考点到输出脉冲的 相应指定参考点的时间。标准TTL系列门电路典型的 传输延时为11ns;高速TTL系列门电路典型的传输延时 为3.3ns。HCT系列CMOS门电路的传输延时为7ns;AC 系列CMOS门电路的传输延时为5ns;ALVC系列CMOS 门电路的传输延时为3ns。
第2章 组合逻辑电路
图2―2和图2―3分别给出了TTL电路和CMOS电 路的输入/输出逻辑电平。
当输入电平在UIL(max)和UIH(min)之间时,逻辑电路可 能把它当作0,也可能把它当作1,而当逻辑电路因所接 负载过多等原因不能正常工作时,高电平输出可能低于 UOH(min),低电平输出可能高于UOL(max)。
第2章 组合逻辑电路
74AC和74ACT:先进CMOS(Advanced CMOS)。 74AHC和74AHCT:先进高速CMOS(Advanced High speed
数字电路逻辑设计(第二版)清华大学出版社朱正伟等编著ch1综述
4. 十六进制
十六进制数中只有0, 1, 2, 3, 4, 5, 6, 7, 8, 9 , A、B、C、D、E、 F十六个数码,进位规律是“逢十六进一”。各位的权均为16 的幂。
例如 (A6.C) 10 161 6 160 12 161 H
一般表达式:
m
S16 ai 16i
1.数字逻辑基础
1.1 数字电路概述 1.2 数制与码制 1.3逻辑代数的运算 1.4逻辑代数的基本定律和基本运算规则
1.5逻辑函数的表示方法及标准形式 1.6逻辑函数的化简
1.1 数字电路概述
1.1.1模拟信号与数字信号
1. 模拟信号 ---时间和数值均连续变化的电信号,如正弦波、三角波等
u
O
t
3.数字电路的分析、设计与测试
(1)数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。
(2) 数字电路的设计方法
数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑 器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于EDA软件的设计方式。 (3) 数字电路的测试方法
1.1.2 数字电路
1..数字集成电路的分类
(3)按所采用的半导体类型分类 --数字集成电路可分为双极型电路 和单极型电路 。
a.双极型电路 --采用双极型半导体器件作为元件。双极型电 路可分为:TTL电路、ECL 电路和I2L 等类型。
b.单极型电路--采用金属-氧化物半导体场效应管(简称为 MOS管)作为元件。 MOS集成电路又可分为PMOS、 NMOS和CMOS等类型。
2 37 …………… 余 …… b0
《数字逻辑》(第二版)习题答案
第一章1. 什么是模拟信号?什么是数字信号?试举出实例。
模拟信号-----指在时间上和数值上均作连续变化的信号。
例如,温度、压力、交流电压等信号。
数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或者说是离散的,这类信号有时又称为离散信号。
例如,在数字系统中的脉冲信号、开关状态等。
2. 数字逻辑电路具有哪些主要特点?数字逻辑电路具有如下主要特点:●电路的基本工作信号是二值信号。
●电路中的半导体器件一般都工作在开、关状态。
●电路结构简单、功耗低、便于集成制造和系列化生产。
产品价格低廉、使用方便、通用性好。
●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可靠性好。
3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么?根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。
组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。
组合逻辑电路又可根据输出端个数的多少进一步分为单输出和多输出组合逻辑电路。
时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。
时序逻辑电路又可根据电路中有无统一的定时信号进一步分为同步时序逻辑电路和异步时序逻辑电路。
4. 最简电路是否一定最佳?为什么?一个最简的方案并不等于一个最佳的方案。
最佳方案应满足全面的性能指标和实际应用要求。
所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。
5. 把下列不同进制数写成按权展开形式。
(1) (4517.239)10 (3) (325.744)8(2) (10110.0101)2 (4) (785.4AF)16解答(1)(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3(2)(10110.0101)2= 1×24+1×22+1×21+1×2-2+1×2-4(3)(325.744)8= 3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 (4) (785.4AF)16= 7×162+8×161+5×160+4×16-1+10×16-2+15×16-36.将下列二进制数转换成十进制数、八进制数和十六进制数。
数字电路逻辑设计(第二版)清华大学出版社朱正伟等编著ch2详解
2)关门电平UOFF、开门电平UON和阈值电压Uth 阈值电压UTH 电压传输特性曲线转折区中点所对应的uI值称为阈 值电压UTH(又称门槛电平)。通常UTH≈1.4V。 3) 噪声容限( UNL和UNH ) 噪声容限也称抗干扰能力,它反映门电路在多大 的干扰电压下仍能正常工作。
UNL和UNH越大,电路的抗干扰能力越强。
+5V
IoH/ IoL=1.0mA/-20mA
IiH/ IiL=50A/-1.43mA
试求门GP扇出系NO
IiL
GP 门输出低电平时,负载门流入的电流为流出 的灌电流,IiL IiS ,因此IiL 的大小与门输入端 的并接数量无关,NOL应为:
N oL
I oLmax
I iS
20 14 1.43
4
(0.3V)
A “1” B “0” C
输入有低 “0”输出为 高“ 1” VF=U -R CC 2IB3-UB3-UB4
R3
R5
VF 5-0.7T5 0.7 =3.6V
F
T2、T5截止
T3、T4导通
2. 工作原理 (2) 输入全为高电平“1”(3.6V)时
+5V
4.3V
E结反偏
A “1” B (3.6V) C
IoH/ IoL=1.0mA/-20mA
IiH/ IiL=50A/-1.43mA
试求门GP扇出系NO
IiH
GP 门输出高电平时,后接的每个门流入的电流 为2IiH,则可带的同类门的个数NOH应为:
N oH
I oHmax
I iH
1 10 2 0.05
例:估算图示电路扇出系数NO 已知门电路的参数如下:
输入A、B、C不全为“1”,输出 Y 为“0”。 输入A、B、C全为 “1”,输出 Y 为“1”。 逻辑表达式:
数字逻辑与数字集成电路第2版
CATALOGUE
06
平均无故障时间、平均修复时间、可用性等,这些指标用于衡量数字系统的可靠性水平。
采用冗余设计、容错技术、故障检测与恢复机制等手段,提高数字系统的可靠性,确保系统稳定运行。
设计方法
可靠性指标
故障诊断
通过监控系统的运行状态、分析异常数据等方式,快速定位故障原因,为故障排除提供依据。
详细描述
总结词
可编程逻辑器件是一种可以通过编程实现各种数字逻辑功能的集成电路。
详细描述
可编程逻辑器件是一种可以通过编程实现各种数字逻辑功能的集成电路。它由可编程的逻辑门电路组成,用户可以通过编程来配置这些门电路的连接和参数,从而实现所需的数字逻辑功能。常见的可编程逻辑器件包括可编程逻辑阵列(PLA)、可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)等。由于其灵活性高、可重复编程的特点,可编程逻辑器件被广泛应用于数字系统设计、数字信号处理和嵌入式系统等领域。
数字系统设计
CATALOGUE
03
将数字系统设计分为逻辑抽象、功能抽象和行为抽象三个层次,以便更好地理解和设计复杂的数字系统。
抽象化设计
从系统总体功能和行为出发,逐步细化设计,直至完成每个最小单元的设计。
自顶向下设计
将复杂的数字系统划分为若干个相对独立、功能明确的模块,便于设计、调试和维护。
模块化设计
数字逻辑与数字集成电路第2版
contents
目录
数字逻辑基础数字电路基础数字系统设计数字信号处理数字通信与网络数字系统安全与可靠性
数字逻辑基础
CATALOGUE
01
03
逻辑表达式的化简
通过逻辑代数的基本定理和运算规则,将复杂的逻辑表达式化简为简单的形式,便于分析和理解。
数字逻辑电路设计第二版答案
数字逻辑电路设计第二版答案【篇一:蒋立平版数字逻辑电路与系统设计习题答案】1.1 将下列二进制数转换为等值的十进制数。
(1)(11011)2(2(10010111)2 (3)(1101101)2 (4(11111111)2 (5)(0.1001)2 (6 (0.0111)2 (7)(11.001)2 (8 (101011.11001)2题1.1 解:(1)(11011)2 =(27)10(10010111)2 =(151)10(3)(1101101)2 =(109)10 (11111111)2 =(255)10(5)(0.1001)2 =(0.5625)10 (0.0111)2 =(0.4375)10(7)(11.001)2 =(3.125)10(101011.11001)2 =(43.78125)101.3 数。
(1)(1010111)2(110111011)2 (3)(10110.011010)2(4)(101100.110011)2 题1.3 解:(1)(1010111)2 =(57)16 =(127)8(2)(110011010)2 =(19a)16 =(632)8 (3)(10110.111010)2 =(16.e8)16 =((4)(101100.01100001)2 =(2c.61)16 =1.5 将下列十进制数表示为8421bcd码。
(1)(43)10(95.12)10 (3)(67.58)10 ((932.1)10题1.5 解:(1)(43)10 =(01000011)8421bcd(2)(95.12)10 =(10010101.00010010)8421bcd (3)(67.58)10 =(01100111.01011000)8421bcd (4)(932.1)10 =(1.7 将下列有符号的十进制数表示成补二进制数。
(1) +13 (2)?9(3)+3 (4)?题1.7解:(1) +13 =(01101)2 ((10111)2(3) +3 =(00011)2 ((11000)21.9 用真值表证明下列各式相等。
第四章1 《数字逻辑》(第二版)习题答案
第四章1.分析图1所示的组合逻辑电路,说明电路功能,并画出其简化逻辑电路图。
图1 组合逻辑电路解答○1根据给定逻辑电路图写出输出函数表达式CA B CBA B CAA B CF⋅+⋅+⋅=○2用代数法简化输出函数表达式CBA ABC CBA ABC C)B(A ABCCABCBABCAABCF+ =+ ++ =+ +=⋅+⋅+⋅=○3由简化后的输出函数表达式可知,当ABC取值相同时,即为000或111时,输出函数F的值为1,否则F的值为0。
故该电路为“一致性电路”。
○4实现该电路功能的简化电路如图2所示。
图24.设计一个组合电路,该电路输入端接收两个2位二进制数A=A2A1,B=B2B1。
当A>B时,输出Z=1,否则Z=0。
解答○1根据比较两数大小的法则,可写出输出函数表达式为○2根据所得输出函数表达式,可画出逻辑电路图如图6所示。
图66.假定X=AB代表一个2位二进制数,试设计满足如下要求 (2) Y=X3(Y也用二进制数表示。
)○1假定AB表示一个两位二进制数,设计一个两位二进制数立方器。
由题意可知,电路输入、输出均为二进制数,输出二进制数的值是输入二进制数AB的立方。
由于两位二进制数能表示的最大十进制数为3,3的立方等于27,表示十进制数27需要5位二进制数,所以该电路应有5个输出。
假定用TWXYZ表示输出的5位二进制数,根据电路输入、输出取值关系可列出真值表如表4所示。
由真值表可写出电路的输出函数表达式为T=AB,====BWAB,ZA,Y0,X根据所得输出函数表达式,可画出用与非门实现给定功能的逻辑电路图如图9所示。
图98.设计一个“四舍五入”电路。
该电路输入为1位十进制数的8421码,当其值大于或等于5时,输出F 的值为1,否则F 的值为0。
解答○1 根据题意,可列出真值表如表5所示。
表5○2 由真值表可写出输出函数表达式为 F(A,B,C,D)=∑m(5~9)+∑d(10~15)经化简变换后,可得到最简与非表达式为○3逻辑电路图如图11所示。
数字逻辑电路设计(第二版 鲍可进)
0
0 0
0
0 0
0
1 1
1
0 1
0
0 0
1
1 1
0
0 0
0
1 1
1
0 1
1
d d d d d
0
0 0 0
1
1 1 1
0
0 1 1
0
1 0 1
0
1 1 1
1
1 1 1
1
1 1 1
0
0 1 1
0
1 0 1
d
作业点评
习题3
13、 图3-59是一个受M控制的4位二进制自然码和Gray码相
互转换的电路。M=1时,完成二进制自然码至Gray码的转换; 当M=0时,完成相反的转换。请说明之。
A B C
0 1 1 0 1 0
A⊙B⊙C 0 1 1 0 1 0
1
1
1
1
0
1
0
1
0
1
作业点评
习题2
8、写出图2-50中各电路输出与输入之间的逻辑表达式,
所有门电路都是CMOS电路。
解:
• 参考P29图2-18 ,二极管与门,可知:
F1 ABCDE
作业点评
习题2
• 参考P29图2-18 ,二极管或门,可知:
作业点评
习题3
4、用卡诺图化简法求出下列逻辑函数的最简“与或”表
达式和最简“或与”表达式 • (1)
F(A,B,C,D)
其它解法?
作业点评
习题3
• (3)
作业点评
习题3
5、用卡诺图化简法求下列逻辑函数的最简“与或”表达
式 • (4)
其它解法?
数字电路与逻辑设计(第二版)习题电子版
第一章 习题1-1 将下列十进制数转换为二进制数、八进制数和十六进制数。
(1)2210 (2)10810 (3)13.12510 (4)131.62510 1-2 将下列二进制数转换为十进制数、八进制数和十六进制数。
(1)1011012 (2)111001012 (3)101.00112 (4)100111.1012 1-3 将下列八进制数转换为十进制数、二进制数和十六进制数。
(1)168 (2)1728 (3)61.538 (4)126.7481-4 将下列十六进制数转换为十进制数、二进制数和八进制数。
(1)2A 16 (2)B2F 16 (3)D3.E 16 (4)1C3.F916 1-5用真值表证明下列逻辑函数等式。
(1) A(B+C)=AB+AC (2) A+BC=(A+B)(A+C)(3) A +B ̅̅̅̅̅̅̅̅=A B ̅ (4) AB̅̅̅̅=A +B ̅ (5) A+BC̅̅̅̅+A BC=1 (6) A B ̅+A B=AB +AB ̅̅̅̅̅̅̅̅̅̅̅̅ (7) A ⨁B=A ⨁B̅ (8) A B̅+B C +C A =A B+B ̅C+C A 1-6利用逻辑代数公式证明下列逻辑等式。
(1) A+A B+B ̅=1 (2) A+B A +CD ̅̅̅̅̅̅̅̅̅̅=A (3) AB+A C+B̅C =AB+C (4) A B ̅+A +C ̅̅̅̅̅̅̅̅+B ̅(D+E)C=A B ̅+A C (5) A ⨁B+AB=A+B(6) AB̅+BC +CA ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅=A B ̅C +ABC (7) AB̅D ̅+B ̅C D+A D+A B ̅C+A B ̅CD ̅=A B ̅+A D+B ̅C (8) A ⨁B +B ⨁C +C ⨁D=A B̅+B C +C D ̅+D A 1-7 利用反演规则写出下列逻辑函数的反函数。
(1) F 1=A B̅C+A B C (2) F 2=A(B̅+C)+ C (B+D) (3) F 3=(A B+c D̅)(C+D ̅) (4) F 4=(A B +C D ̅)(B+A D ̅) (5) F 5=A B ̅+A C B +D ̅̅̅̅̅̅̅̅ (6) F 6=A +BC ̅̅̅̅̅̅̅̅̅̅+B ̅+CD ̅̅̅̅̅̅̅̅̅̅ (7) F 7=AC +BD ̅̅̅̅̅̅̅̅̅̅̅̅C +A +BD̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ (8) F 8=(A +D ̅(B ̅+C)̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅+(A +C ̅̅̅̅̅̅̅̅+B)AB +CD̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅1-8 利用对偶规则写出下列逻辑函数的对偶函数。
数字逻辑与数字集成电路第2版第1章第2章01
a
b
c
t pLH
t pHL
典型的组合逻辑电路
(1)门电路
(Gates)
(2)译码电路 (Decoders)
编码电路 (Encoders)
(3)数据选择电路 (Multiplexer)(多路开关)
或数据选择器 (Data Selector)
(4) 加法器
(Adders)
算术逻辑单元 ( Arithmetic Logic Units )
与非门工作原理:(输 “H”
入为高)
“H” “L”
VA=VB=”H”=3.6V
IR1全部流向T2基极
输入漏电流IIH,从多发射极流入
T2 , T5饱和, T2基极的电压为1.4v, T2发射极(T5基极)的电压
为0.7V。由于T5饱和,所以:
图
输出电压: VoL =Vces5=0.1~0.3V =”L” 输出电流 IoL:从外电路流向T5
开关特性
在T2由饱和向截止转换时,VC2升高,使T3、 T4同时导通,“1”驱动级给尚未脱离饱和的 T5提供很大集流,从而使T5迅速脱离饱和。 在T5脱离饱和时,VC2抬高,Ib5随之减少, 这时T5吸收不了由T3,T4流来的电流,它们大 部分流向输出负载电容,使它迅速充电,加 快输出电压上升
R3为T5基区电荷的逸散提供了通路,使T5截 止过程加快
半导体制造工艺的发展带动了集成电路的更新换代。 VLSI时代存储器件制造工艺带动了整个微处理器的更
新换代。 摩尔定律:每18个月集成度翻一翻。 集成电路内部的连线宽度是主要的指标: 0.8 m, 0.35 m, 0.25m, 0.18m,0.13 m …….
集成电路发展历史(续)
(1) Small Scale IC (SSI)
新编数字逻辑电路(第2版1)
(D) 2
n1
ki
2i
im
任意一个二进制数D均可展开为:
(1101.101)2= 1×23+1×22+0×21+1×20+1×2-1+0×2-2+1×2-3
=(13.625)10
2020/7/28
18
(3)八进制
用0~7八个符号表示数,基数:8,权值:8i
进位规则:“逢八进一”或“借一当八”
任意一个八进制数D均可展开为:
式,或者采用文本、图形两者混合的设计输入方式。
2020/7/28
30
1.4.3 设计处理
设计处理是EDA设计中的核心环节。在设计处理阶段,编译 软件将对设计输入文件进行逻辑化简、综合和优化,并适当 地用一片或多片器件自动地进行适配,最后产生编程用的编 程文件。设计处理主要包括设计编译和检查、逻辑优化和综 合、适配和分割、布局和布线、生成编程数据文件等过程。
(D)16
n1
ki
16i
im
(1FD.6C)16= 1×162+15×161+13×160+6×16-1+12×16-2 =(509.421875)10
2020/7/28
20
在数字电路中,可以用括弧加下注脚的方式,或者用在数字 后面加数制前缀或后缀的方式。例如,在Verilog HDL中
十进制数的前缀为“D”或“d” (Decimal)
数字电子技术是分析和处理数字信号的技术,数字信号 (如矩形波)具有在数值上和时间上都是不连续的特点,使用 的主要器件:晶体管,但工作在非线性区(即截止区和饱和 区),构成信号的开关电路。
2020/7/28
13
第四章 《数字逻辑》(第二版)习题答案
第四章1.分析图1所示的组合逻辑电路,说明电路功能,并画出其简化逻辑电路图。
图1 组合逻辑电路解答○1根据给定逻辑电路图写出输出函数表达式CABCBABCAABCF⋅+⋅+⋅=○2用代数法简化输出函数表达式CBA ABC CBA ABC C)B(A ABCCABCBABCAABCF+ =+ ++ =+ +=⋅+⋅+⋅=○3由简化后的输出函数表达式可知,当ABC取值相同时,即为000或111时,输出函数F的值为1,否则F的值为0。
故该电路为“一致性电路”。
○4实现该电路功能的简化电路如图2所示。
图22. 分析图3所示的逻辑电路,要求:(1) 指出在哪些输入取值下,输出F 的值为1。
(2) 改用异或门实现该电路的逻辑功能。
图3 组合逻辑电路解答分析给定逻辑电路,可求出输出函数最简表达式为 C B A C B A F ⊕⊕=⊕⊕=○1 当ABC 取值000、011、101、110时,输出函数F 的值为1; ○2 用异或门实现该电路功能的逻辑电路图如图4所示。
图43.析图5所示组合逻辑电路,列出真值表,并说明该电路的逻辑功能。
图5 组合逻辑电路= 1 = 1 = 1 A W B C D X Y Z . . .解答○1 写出电路输出函数表达式如下: D C Z C,B Y B,A X A,W ⊕=⊕=⊕==○2 列出真值表如表1所示。
表1ABCD WXYZ ABCD WXYZ 0000 0001 0010 0011 0100 0101 0110 0111 0000 0001 0011 0010 0110 0111 0101 0100 1000 1001 1010 1011 1100 1101 1110 1111 1100 1101 1111 1110 1010 1011 1001 1000○3 由真值表可知,该电路的功能是将四位二进制码转换成Gray 码。
4.设计一个组合电路,该电路输入端接收两个2位二进制数A=A 2A 1,B=B 2B 1。
(完整word版)《数字逻辑》(第二版)习题答案-第六章
习 题 六1 分析图1所示脉冲异步时序逻辑电路。
(1) 作出状态表和状态图; (2) 说明电路功能。
图1解答(1)该电路是一个Mealy 型脉冲异步时序逻辑电路。
其输出函数和激励函数表达式为211221212Q D x C Q D x Q CQ x Q Z =====(2)电路的状态表如表1所示,状态图如图2所示。
现 态 Q 2 Q 1次态/输出ZX=10 0 0 1 1 0 1 1 01/0 11/0 10/0 00/1图2(3) 由状态图可知,该电路是一个三进制计数器。
电路中有一个多余状态10,且存在“挂起”现象。
2 分析图3所示脉冲异步时序逻辑电路。
(1) 作出状态表和时间图; (2) 说明电路逻辑功能。
图3解答○1 该电路是一个Moore 型脉冲异步时序逻辑电路,其输出即电路状 态。
激励函数表达式为 1321123132233Q C C CP;C 1;K K K 1J ; Q J ; Q Q J =========○2 电路状态表如表2所示,时间图如图4所示。
表2图4○3 由状态表和时间图可知,该电路是一个模6计数器。
3 分析图5所示脉冲异步时序逻辑电路。
(1) 作出状态表和状态图; (2) 说明电路逻辑功能。
图5时 钟CP 现 态 Q 3 Q 2 Q 1 次 态 Q 3(n+1)Q 2(n+1)Q 1(n+1)11111111000 001 010 011 100 101 110 111 001 010 011 100 101 000 111 000解答○1 该电路是一个Moore 型脉冲异步时序逻辑电路,其输出函数和激励函数表达式为322111132212122212x y x R ; x S y x y x x R ; y y x S y y Z +==++===○2该电路的状态表如表3所示,状态图如图6所示。
表3现态 y 2y 1次态y 2(n+1)y 1(n+1)输出 Zx 1 x 2 x 3 0001 11 1001 01 01 0100 11 00 0000 00 10 000 0 0 1图6○3 该电路是一个“x 1—x 2—x 3”序列检测器。
数字电路逻辑设计(第二版)清华大学出版社朱正伟等编著ch3详解
3.1小规模集成电路构成的组合电路 3.2中规模集成电路及其应用 3.3组合逻辑电路中的竞争和冒险
关于组合逻辑电路
组合逻辑电路的一般框图
A B C = 1 Z = 1 F1 F2
X1 X2
Xn
组合逻辑电 路
Z1 Z2 Zm
结构特征: 1、输出、输入之间没有反馈延迟通路, 2、不含记忆单元
1
I1
0
I2
0
I3
0
Y1
0
Y0
0
Y1 = I2 I3 + I3 Y0 = I1 I2 I3 + I3
(3)画出逻辑电路(略)
×
1
0
1
0
0 1 高
0
1 1
1
0 1
× ×
× × × 低
3. 集成电路编码器 8-3线优先编码器74LS148的示意框图、引 脚图
YEX YEX S S Y2 Y1 Y0 Y2 Y1 Y0 74LS148 I7 I6 I5 I4 I3 I2 I1 I0 I7 I6 I5 I4 I3 I2 I1 I0 YS YS
(3) 根据逻辑表达式,画出逻辑图
G3 G2 G1 G0 =1 =1 =1
B3 B2 B1 B0
3.2 中规模集成电路及其应用
3.2.1 编码器 3.2.2 译码器 3.2.3 数据分配器和数据选择器 3.2.4 数值比较器 3.2.5 加法器
3.2.1 编码器
一、编码器 (Encoder)的概念与分类 1.编码:赋予二进制代码特定含义的过程称为编码。
A
0 0
FB
0 0 1 0
FC
0 0 0 1
FB A B
(完整word版)《数字逻辑》(第二版)习题答案
第一章1. 什么是模拟信号?什么是数字信号?试举出实例。
模拟信号-----指在时间上和数值上均作连续变化的信号。
例如,温度、压力、交流电压等信号。
数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或者说是离散的,这类信号有时又称为离散信号。
例如,在数字系统中的脉冲信号、开关状态等。
2. 数字逻辑电路具有哪些主要特点?数字逻辑电路具有如下主要特点:●电路的基本工作信号是二值信号。
●电路中的半导体器件一般都工作在开、关状态。
●电路结构简单、功耗低、便于集成制造和系列化生产。
产品价格低廉、使用方便、通用性好。
●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可靠性好。
3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么?根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。
组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。
组合逻辑电路又可根据输出端个数的多少进一步分为单输出和多输出组合逻辑电路。
时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。
时序逻辑电路又可根据电路中有无统一的定时信号进一步分为同步时序逻辑电路和异步时序逻辑电路。
4. 最简电路是否一定最佳?为什么?一个最简的方案并不等于一个最佳的方案。
最佳方案应满足全面的性能指标和实际应用要求。
所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。
5. 把下列不同进制数写成按权展开形式。
(1) (4517.239)10 (3) (325.744)8(2) (10110.0101)2 (4) (785.4AF)16解答(1)(4517.239)10 = 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3(2)(10110.0101)2= 1×24+1×22+1×21+1×2-2+1×2-4(3)(325.744)8 = 3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 (4) (785.4AF)16 = 7×162+8×161+5×160+4×16-1+10×16-2+15×16-36.将下列二进制数转换成十进制数、八进制数和十六进制数。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1.8 将下列BCD码转换成十进制数和二进制数:
• (1) (011010000011)BCD =(683)10=(1010101011)2 • (2) (01000101.1001)BCD =(45.9)10=(101101.1110)2
1.9 试写出下列二进制数的典型Gray码:
• (1) (111000)Gray=100100 • (2) (10101010)Gray=11111111
习题3
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity above5_1 is port(bcd_in:in std_logic_vector(3 downto 0); f:out std_logic); end above5_1; architecture behave of above5_1 is begin process(bcd_in) begin if (bcd_in>=5 and bcd_in<10)then f<='1'; else f<='0'; end if; end process; end behave;
10、分析图示求补电路。要求写出输出函数表达式,列出
真值表 。 • 验证性分析题 • 求补概念(第一章) • 注意高低位顺序
作业点评
习题3
11、图示为两种十进制代码的转换器,输入为余3码,分
析输出是什么代码 。
• 列出真值表可知输出为8421BCD码
作业点评
习题3
12 、分析图3-58所示的组合逻辑电路,假定输入是一位十进
作业点评
习题3
4、用卡诺图化简法求出下列逻辑函数的最简“与或”表
达式和最简“或与”表达式 • (1)
F(A,B,C,D)
其它解法?
作业点评
习题3
• (3)
作业点评
习题3
5、用卡诺图化简法求下列逻辑函数的最简“与或”表达
式 • (4)
其它解法?
作业点评
习题3
• (5)
其它解法?
作业点评
习题3
A B C
0 1 1 0 1 0
A⊙B⊙C 0 1 1 0 1 0
1
1
1
1
0
1
0
1
0
1
作业点评
习题2
8、写出图2-50中各电路输出与输入之间的逻辑表达式,
所有门电路都是CMOS电路。
解:
• 参考P29图2-18 ,二极管与门,可知:
F1 ABCDE
作业点评
习题2
• 参考P29图2-18 ,二极管或门,可知:
F2 A B C D E
作业点评
习题2
• 同样,根据二极管与门、或门电路,可知:
F3 ABC DEF F4 A B C D E F
作业点评
习题2
9、写出下图所示电路输出端的逻辑表达式。 解:本题中集电极开路的OC门实现线与功能和电平转换
的功能。
F AB CD
作业点评
2
• (1)
习题3
• (2)
F ( A B C )( A B)( A B C ) ( A B C )( A B C )( A B ) ( A B)( A B) B 交换律 常见公式( A B)( A B)=A
作业点评
习题1
2、将下列二进制数转换成十进制数、八进制数和十六进
制数。
解:
• (1) (1101)2 (13)10 (15)8 (D)16
• (3)(0.101)2 (0.625)10 (0.5)8 (0.A)16 • (5) (10101.11)2 (21.75)10 (25.6)8 (15.C)16
• 可见,此时与(1)相同,实现全减器的功能。
因此(3)的功能是实现可控的全加、全减器功能,控制变量M=0时
为全加器,M=1时为全减器。
作业点评
习题3
3.16 设A,B,C为某密码锁的3个按键,当A键单独按下时,
锁既不打开也不报警;只有当A,B,C或者A,B或者A,C分别 同时按下时,锁才能被打开;当不符合上述条件时,将发 出报警信号,试用“与非”门设计此密码锁的逻辑电路。
作业点评
习题1
1.7 完成下列代码之间转换:
• (1) (0001100110010001.0111)BCD=(1991.7 )10; • (2) (137.9)10=( 0100 0110 1010.1100)余3 • (3) (1011001110010111)余3=( 1000 0000 0110 0100 )BCD。
换;当M=0时,完成相反的转换。
作业点评
习题3
14 分析图3-60 所示的组合逻辑电路,回答以下问题:
• 假定电路的输入变量A,B,C和输出函数F,G均代表1位二 进制数,请问该电路实现什么功能? • 若将图中虚线框内的反向器去掉,即令X点和Y点直接 相连,请问该电路实现什么功能?
• 若将图中虚线框内的反向器改为异或门,异或门的另
作业点评
习题3
解:由电路图直接写出输出表达式:
当M=1时,输出表达式为:
• Y3=X3,Y2=X3⊕X2 ,Y1= X2⊕X1,Y0=X1⊕X0
当M=0时,输出表达式为:
• Y3=X3,Y2=X3⊕X2 • Y1= X3⊕X2⊕X1,Y0= X3⊕X2⊕X1⊕X0
可见,当M=1时电路确实能完成二进制自然码至Gray码的转
其他方法?
作业点评
习题3
3、将下列函数转换为由“标准积之和”及“标准和之积”
形式表示的函数 • 代数法(公式法) • 表格法(真值表) • 1、F=m2+ m3+ m5+ m6+ m7=∑m(2,3,5,6,7)
= ∏M(0,1,4)
• 3、F=∏M(0,1,2,3,4,5,6,7) =∑m()=0
作业点评
习题3
3.29 图3-64所示电路有无险象?若有,请说明出现险象的
输入条件,经修改设计后画出无险象的电路图。
作业点评
习题3
解:(a)由电路图可直接写出输出函数表达式为:
F A B C AD B D
由表达式可知,A、D的变化存在产生险象的可能性,进一 步用代数法验证可知: • 当BCD=001时,F A A ,可能产生1型险象。
作业点评
习题2
3、下图所示电路,试问输入信号A、B、C不同组合时,电
路中P点和输出端F的状态。
解:
• 当C=1时,三态门输出(P点)为高阻状态。从TTL与非 门电路可知,输入为高阻态(等同于悬空)时,相当于
输入为高电平。
• C=0时, AP AAB AB F • C=1时, F A • 根据表达式,列出真值表即可 (列真值表时请按二进制顺序表)
作业点评
4、分别列出3输入异或F= A B C 和3输入同或
F=A⊙B⊙C的真值表。
习题2
解:根据异或和同或运算的关系列表如下
A B C A B ( A B ) C C ( A B ) ( A B ) C C A B C
A 0 0 0 0 1 1 B 0 0 1 1 0 0 C 0 1 0 1 0 1
作业点评
习题1
3、将下列十进制数转换成二进制数、八进制数和十六进
制数。
解:
• (1) (27)10 (11011)2 (33)8 (1B)16
• (3) (0.375)10 (0.011)2 (0.3)8 (0.6)16 • (5) (174.25)10 (10101110.01)2 (256.2)8 (AE.4)16
0
1 0 0 1 0 1 1 0
1
0 1 1 1 0 1 1 1
1
1 1 1
1
1 1 1
0
0 1 1
0
1 0 1
1
0 0 1
0
0 0 1
作业点评
习题3
由真值表画出卡诺图如下图所示,可得输出表达式为:
电路图略
作业点评
习题3
3.27 用VHDL语言描述一个1位十进制数的数值范围指示
器。电路的输入为一位十进制数的8421码,当输入的十进 制数大于或等于5时,输出为1,否则为0。
制数的8421码,试说明该电路的功能。
解:由电路图直接写出输出表达式:
F=A+BC+BD
作业点评
习题3
表达式:F=A+BC+BD 真值表如下表所列,由真值表可知该电路实现的功能是:判断输入的十
进制数是否对于或等于5,可以实现4舍5入功能。
A 0 B 0 C 0 D 0 F 0 A 1 B 0 C 0 D 0 F 1
作业点评
习题3
BEGIN
WITH bcd_in SELECT f<='1' WHEN "0101", '1' WHEN "0110", '1' WHEN "0111", '1' WHEN "1000", '1' WHEN "1001", '0' WHEN OTHERS; END behave;
作业点评
G 0
1 1 1 0 0 0 0
作业点评
习题3
根据真值表可以画出F和G的卡诺图如下图所示,由卡诺