电子综合课程设计_多功能数字电子时钟
数字电子技术课程设计--多功能数字钟

目录第一章设计任务 (4)1.1 设计题目及要求 (4)1.1.1 题目 (4)1.1.2 设计要求 (4)第二章方案设计 (5)2.1 总体方案说明 (5)2.2 模块结构以及总体方框图 (5)第三章单元电路设计与原理说明 (6)3.1 按键消抖电路的设计 (6)3.1.1 RS触发器消抖 (6)3.2 分频器的设计 (7)3.2.2 1000分频器 (7)3.3基础电子钟及其显示设计 (8)3.3.1 时钟计数器 (8)3.3.2 功能选择及校准 (9)3.4整点报时器的设计 (10)3.5数码管显示切换电路的设计 (11)3.6 闹钟及其显示的设计 (12)第四章整机电路图及说明 .............................................................. 错误!未定义书签。
4.1整体电路图及说明................................................................................ 错误!未定义书签。
第五章电路仿真 (15)5.1基本时钟电路及其时间设置功能仿真 ................................................ 错误!未定义书签。
5.1.1基本计时功能的仿真............................................................. 错误!未定义书签。
5.1.2时间设置功能的仿真............................................................. 错误!未定义书签。
5.2整点报时功能的仿真............................................................................ 错误!未定义书签。
多功能电子时钟数字系统课程设计设计实验报告

多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。
(开机后,按下按键1一次,会继续显示时间。
)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。
而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。
二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。
我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。
在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。
电子技术课程设计报告---多功能数字时钟

电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。
2.可以24小时制或12小时制。
3.具有校时功能。
可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4.整点能自动报时,要求报时声响四低一高,最后一响为整点。
5.走时精度高于普通机械时钟〔误差不超过1s/d〕。
二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。
秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。
“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。
其原理框图如图1所示。
2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。
数字钟的精度,主要取决于时间标准信号的频率及稳定度。
振荡器的频率越高,计时的精度就越高,但耗电量将增大。
一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。
〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。
分频器的级数和每级的分频次数要根据时基频率来定。
例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。
也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。
〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。
“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。
“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。
多功能电子时钟课程设计

多功能电子时钟课程设计一、课程目标知识目标:1. 学生能够理解电子时钟的基本原理,掌握电子时钟的组成和功能。
2. 学生能够运用所学知识,设计并制作一个多功能电子时钟。
3. 学生能够了解电子时钟在日常生活和科技领域中的应用。
技能目标:1. 学生能够运用编程软件和硬件设备,完成电子时钟的设计和制作。
2. 学生能够运用问题解决和团队协作能力,解决设计和制作过程中遇到的问题。
3. 学生能够通过实际操作,提高动手能力和创新能力。
情感态度价值观目标:1. 学生培养对电子技术和编程的兴趣,激发学习热情。
2. 学生养成合作、分享、尊重他人的良好品质,形成积极向上的学习氛围。
3. 学生认识到科技对生活的影响,增强社会责任感和创新意识。
课程性质:本课程为信息技术课程,旨在培养学生的动手实践能力和创新能力。
学生特点:五年级学生对新鲜事物充满好奇,具备一定的逻辑思维能力和动手能力,但需进一步提高。
教学要求:结合学生特点,注重理论与实践相结合,提高学生的实际操作能力和解决问题的能力。
通过团队合作,培养学生良好的沟通与协作能力。
在教学过程中,关注学生的情感态度价值观的培养,使他们在学习过程中形成积极向上的人生态度。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容1. 电子时钟基本原理:讲解电子时钟的组成、工作原理和常见类型,结合课本第二章内容。
- 时钟芯片的原理与应用- 显示器件(如LED、LCD)的工作原理2. 硬件设备认知:介绍电子时钟所需硬件设备,如时钟芯片、显示模块、电源模块等,关联课本第三章。
- 硬件设备的功能和选型- 硬件连接与电路设计3. 编程软件应用:学习编程软件的使用,编写程序控制电子时钟,参考课本第四章。
- 编程环境搭建- 基本编程语法与逻辑控制4. 电子时钟设计与制作:分组进行电子时钟的设计和制作,结合课本第五章。
- 设计方案讨论与确定- 程序编写与调试- 硬件组装与测试5. 作品展示与评价:展示各组设计的电子时钟,进行自评、互评和教师评价,总结经验教训,提高创新能力。
多功能数码钟课程设计

多功能数码钟课程设计一、课程目标知识目标:1. 学生能理解多功能数码钟的基本原理,掌握其构造和功能。
2. 学生能运用所学知识,分析并解释数码钟显示时间、闹钟设定、计时器等基本功能的工作原理。
3. 学生能了解并描述电子元件如集成电路、晶体振荡器、LED显示屏等在数码钟中的作用。
技能目标:1. 学生能够独立完成多功能数码钟的组装和调试。
2. 学生能够通过实际操作,掌握使用多功能数码钟进行时间管理、闹钟设定和计时等技能。
3. 学生能够运用所学知识,解决多功能数码钟使用过程中遇到的问题。
情感态度价值观目标:1. 培养学生对电子科技的兴趣,激发他们探索科学技术的热情。
2. 培养学生的团队合作精神,使他们学会在组装和调试过程中相互帮助、共同解决问题。
3. 培养学生珍惜时间、合理安排时间的意识,引导他们将所学知识应用于日常生活中,提高生活品质。
本课程旨在让学生通过动手实践,掌握多功能数码钟的基本原理和操作技能,同时培养他们的科技兴趣和团队协作能力。
针对学生年级特点,课程内容注重知识性与实践性的结合,以学生为主体,充分调动他们的学习积极性。
通过本课程的学习,使学生能够将理论知识与实际应用相结合,提高解决问题的能力。
二、教学内容本章节教学内容主要包括以下几部分:1. 数码钟原理:介绍数码钟的基本工作原理,如时钟电路、计数器、显示电路等,关联教材中有关电子计数器、数字显示章节。
2. 电子元件认知:学习集成电路、晶体振荡器、LED显示屏等电子元件的作用和特性,结合教材中电子元件相关章节。
3. 多功能数码钟组装与调试:详细讲解多功能数码钟的组装过程,包括电路连接、元件焊接、调试等,涉及教材实践操作章节。
4. 多功能数码钟操作与应用:学习如何使用多功能数码钟进行时间管理、闹钟设定、计时等功能,结合教材中关于电子产品操作与应用的内容。
教学内容安排与进度:第一课时:数码钟原理学习,介绍电子计数器、数字显示等基本概念。
第二课时:电子元件认知,学习集成电路、晶体振荡器、LED显示屏等元件的作用。
单片机多功能电子数字钟课程设计报告

多功能电子数字钟设计数字钟在日常生活中最常见, 应用也最广泛。
本文主要就是设计一款数字钟, 以89C52单片机为核心, 配备液晶显示模块、时钟芯片、等功能模块。
数字钟采用24小时制方式显示时间, 定时信息以及年月日显示等功能。
文章的核心主要从硬件设计和软件编程两个大的方面。
硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。
软件用C语言来实现, 主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。
关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1.MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。
这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。
8051单片机的结构特点有以下几点: 8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源, 2个中断优先级;全双工串行口。
定时器/计数器8051内部有两个16位可编程定时器/计数器, 记为T0和T1。
16位是指他们都是由16个触发器构成, 故最大计数模值为2 -1。
可编程是指他们的工作方式由指令来设定, 或者当计数器来用, 或者当定时起来用, 并且计数(定时)的范围也可以由指令来设置。
这种控制功能是通过定时器方式控制寄存器TMOD来完成的。
在定时工作时, 时钟由单片机内部提供, 即系统时钟经过12分频后作为定时器的时钟。
技术工作时, 时钟脉冲由TO和T1输入。
中断系统8051的中断系统允许接受五个独立的中断源, 即两个外部中断申请, 两个定时器/计数器中断以及一个串行口中断。
外部中断申请通过INTO和INT1(即P3.2和P3.3)输入, 输入方式可以使电平触发(低电平有效), 也可以使边沿触发(下降沿有效)。
2.8051的芯片引脚如图1-2所示VCC: 供电电压。
多功能数字时钟课程设计

多功能数字时钟课程设计一、课程目标知识目标:1. 让学生掌握多功能数字时钟的基本知识,包括时分秒显示、闹钟设定、计时器等功能;2. 使学生了解数字时钟的工作原理,如晶振振荡、计数器等基本组成部分;3. 引导学生了解数字时钟在生活中的应用,提高对时间管理的认识。
技能目标:1. 培养学生动手操作、团队协作的能力,通过组装多功能数字时钟,提高实践操作技能;2. 培养学生运用已学知识分析问题、解决问题的能力,如调试数字时钟程序;3. 培养学生运用信息技术手段,如编程软件、仿真工具等,进行项目实践。
情感态度价值观目标:1. 培养学生对数字时钟的兴趣,激发学习电子技术的热情;2. 培养学生珍惜时间、合理规划生活的意识,增强时间观念;3. 培养学生勇于尝试、不断创新的精神,提高自信心。
分析课程性质、学生特点和教学要求:1. 课程性质:本课程属于信息技术与电子技术的跨学科综合实践活动,注重理论与实践相结合;2. 学生特点:六年级学生对新鲜事物充满好奇,动手能力强,具备一定的信息技术素养,但需加强团队协作和问题解决能力的培养;3. 教学要求:结合学生特点,设计具有趣味性、实践性和挑战性的教学活动,注重引导学生主动探究、合作交流,提高综合素养。
1. 知识方面:掌握多功能数字时钟的基本知识和工作原理;2. 技能方面:具备组装、调试多功能数字时钟的能力;3. 情感态度价值观方面:增强时间观念,培养创新精神和团队协作意识。
二、教学内容1. 数字时钟基础知识:- 时分秒显示原理- 数字时钟的基本结构及功能- 晶振振荡器的原理与应用2. 数字时钟组装与调试:- 介绍所需材料、工具及使用方法- 按照步骤组装多功能数字时钟- 调试数字时钟程序,确保正常运行3. 数字时钟项目实践:- 设计并实现闹钟功能- 设计并实现计时器功能- 优化数字时钟功能,提高用户体验4. 教学内容的安排与进度:- 第一课时:数字时钟基础知识学习- 第二课时:数字时钟组装与调试- 第三课时:闹钟功能设计与实现- 第四课时:计时器功能设计与实现- 第五课时:项目优化与总结5. 教材章节及内容列举:- 第四章 电子技术应用:数字时钟的原理与制作- 4.1 数字时钟基础知识- 4.2 数字时钟的组装与调试- 4.3 数字时钟功能拓展6. 教学内容科学性与系统性:- 确保所选教学内容符合学生认知水平,注重知识点的衔接;- 教学内容注重实践操作,培养学生动手能力和创新能力;- 教学内容具有系统性,从基础知识到项目实践,逐步提高学生综合素养。
电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
题目班级学号多功能数字电子时钟********************************指导时间**********2010 年12月18日瓷学院电工电子技术课程设计任务书目录1、总体方案与原理说明................................... .. (1)2、单元电路1 ——单片机最小系统 (3)3、单元电路 2 ——指示灯与数码管显示电路. . . . . . . . . . . . . . . . . . . . . . 5 4、单元电路 3 ——键盘检测电路 (7)5、单元电路4 ——A T 2 4 C 0 2 存储电路 (9)6、总体电路原理相关说明 (11)7、总体电路原理图 (13)8、PCB印制电路板图 (14)9、元件清单.............................................................. . . . . . . . . . . . . . . . . . . 1 510 、参考文献 (16)11、设计心得体会............................................................... . . . . . . . . . . . . . . 1 712 、附件: C 源程序..............................................................181、总体方案与原理说明图1:作品总体框图这是一个具有时间、日期、秒表、闹铃以及断电储存数据功能的多功能数字电子时钟。
它主要由以下几部分组成:单片机最小系统;指示灯及数码管显示电路;按键电路;以及AT24C02存储电路。
整机的逻辑框图如右图所示:本时钟的主控芯片是一台AT89S51单片机,AT89S51是一个低功耗,高性能CMOS 啦单片机,片含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATME公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片集成了通用8 位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。
AT89S51具有如下特点:40个引脚,4k Bytes Flash片程序存储器,128 bytes 的随机存取数据存储器(RAM,32个外部双向输入/输出(I/O )口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT电路,片时钟振荡器。
此外,AT89S51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。
空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM勺数据,停止芯片其它功能直至外中断激活或硬件复位。
同时该芯片还具有PDIP、TQFP 和PLCC等三种封装形式,以适应不同产品的需求。
整个电路由一台单片机和一些外围电路组成。
它的计时采用单片机部的定时器,其晶振频率为11.0592MHz其主要功能都是通过C语言编程来实现的。
其显示部分用四位数码管来显示,能同时显示小时和分钟。
它主要有以下几个功能:(1)时间显示及调整:在正常状态下显示当前时间,并随时可以通过控制按键进行调整。
(2)日期显示及调整:在日期显示状态下可以显示当前日期,进入日期调整状态后还可以调整日期。
(3)秒表功能:进入秒表状态后可以进行秒表计时,它能计时的长度为100分钟,当时间在0~1 0分钟计时精度为0.1 秒,四位数码管中第一位显示分钟,中间两位显示秒钟,最后一位显示0.1 秒表;当时间在1 0~1 00分钟计时精度为1 秒,四位数码管前两位显示分钟,后两位显示秒钟。
(4)闹铃功能:在进入闹铃调整状态后可以设定闹铃时间,当时间到达设定好的时间时蜂鸣器就会发出“嘀嘀”的报警声。
(5)整点报时功能:当时间到达整点时蜂鸣器会发出“嘀”的一声报警,以提示到达整点。
(6)断电存储数据的功能:当时钟在运行时,它会实时将当前时间存入一个AT24C02存储器中,在每次启动时它会首先从该存储器中读取数据,这样将保证时钟在断电后数据不会丢失,再次接通电源后将接着上次的时间运行。
以下我将对各部分的原理进行详细的阐述。
2、单元电路1――单片机最小系统图2:单片机最小系统单片机最小系统由一个单片机加上一个复位电路和振荡电路组成。
图中右下角还有一个蜂鸣器电路,它用于闹铃的报警:(1)复位电路单片机复位电路原理是在单片机的复位引脚RST上外接电阻和电容,实现上电复位。
当复位电平持续两个机器周期以上时复位有效。
复位电平的持续时间必须大于单片机的两个机器周期。
具体数值可以由RC电路计算出时间常数。
复位电路由按键复位和上电复位两部分组成。
(1)上电复位:8051系列单片及为高电平复位,通常在复位引脚RST上连接一个电容到VCC再连接一个电阻到GND 由此形成一个RC充放电回路保证单片机在上电时RST脚上有足够时间的高电平进行复位,随后回归到低电平进入正常工作状态,这个电阻和电容的典型值为10K和10uF。
(2)按键复位:按键复位就是在复位电容上并联一个开关,当开关按下时电容被放电、RST也被拉到高电平,而且由于电容的充电,会保持一段时间的高电平来使单片机复位。
(2)振荡电路单片机系统里都有晶振,在单片机系统里晶振作用非常大,全称叫晶体振荡器,它结合单片机部电路产生单片机所需的时钟频率,单片机晶振提供的时钟频率越高,那么单片机运行速度就越快,单片接的一切指令的执行都是建立在单片机晶振提供的时钟频率。
在通常工作条件下,普通的晶振频率绝对精度可达百万分之五十。
高级的精度更高。
有些晶振还可以由外加电压在一定围调整频率,称为压控振荡器(VCO。
晶振用一种能把电能和机械能相互转化的晶体在共振的状态下工作,以提供稳定,精确的单频振荡。
单片机晶振的作用是为系统提供基本的时钟信号。
通常一个系统共用一个晶振,便于各部分保持同步。
有些通讯系统的基频和射频使用不同的晶振,而通过电子调整频率的方法保持同步。
晶振通常与锁相环电路配合使用,以提供系统所需的时钟频率。
如果不同子系统需要不同频率的时钟信号,可以用与同一个晶振相连的不同锁相环来提供。
AT89S51使用11.0592MHz的晶体振荡器作为振荡源,由于单片机部带有振荡电路,所以外部只要连接一个晶振和两个电容即可,电容容量一般在15pF至50pF之间。
3、 单元电路2――指示灯及数码管显示电路u”u —M-图4:数码管内部原理图数码管有一位、双位、四位等几种。
而不管将几位数码管连在一起,数码管 和显示原理都是一样的,都是靠点亮部的发光二极管来发光。
数码管的部电路结--------- I ZZI' □ □□□□□S.S.0.S.图3:指示灯与数码管显示电路 1、数码管显示原理构如上图所示:从(a)可看出,一位数码管的引脚数是10个,显示一个8字需要7 个小段,另外还有一个小数点,所以其部一共有8 个小的发光二极管,最后还有一个公共端,生产商为了封装统一,单位数码管都封装1 0个引脚。
而它们的公共端又分为共阳极和共阴极。
上页图的(b)和(c)分别为共阳极和共阴极数码管的原理图。
本电子钟上的数码管采用的是4 位共阳极数码管。
所谓“共阳”就是指其部的8 个发光二极管的阳极全部接在一起,而它们的阴极是独立的,通常在设计电路时一般把阳极接VCC当我们给数码管的任一个阴极加低电平时,对应的那个发光二极管就点亮了。
当使用多位一体数码管时,它们部的公共端是独立的,而负责显示什么数字的段线全部都是连在一起的,独立的公共端可以控制多位数码管中哪一位点亮。
通常我们把公共端叫做“位选线” ,连在一起的段线叫做“段选线” 。
2、显示部分C源程序的编写:由于在本电子钟中4位数码管的段选线是直接接在单片机的P0 口的,所以我们首先应该计算出显示各个数字所对应的P0 口输出的二进制编码。
例如如果要显示一个“ 0”对照上面的(a)图,我们需要点亮数码管中的a、b、c、d、e、f,而g和dp不点亮,故a、b、c、d、e、f、g、dp对应的二进制编码就为:00000011。
再对照数码管段选线与单片机连接的顺序就可得要赋给单片机P0 口的值应为10100000,转换为十六进制就是a0。
同理可以得到其它数字对应的十六进制编码:uchar code table[10]={0xa0,0xbe,0x62,0x2a,0x3c,0x29,0x21,0xba,0x20,0x28};uchar code table_dot[10]={0x80,0x9e,0x42,0x0a,0x1c,0x09,0x01,0x9a,0x00,0x08}; // 带小数点的数字段码表3、指示灯功能说明:其中秒表、日期、闹铃、小时调整、分钟调整为状态指示灯,当时钟处于其中的某种状态时,对应的指示灯会亮。
秒针指示为秒针指示灯,它会每隔0.5 秒闪烁一次,用来指示当前正处于计时状态(包括时间显示和秒表状态)。
显示部分的具体C源程序见附录单元电路3――键盘检测电路图5:键盘与单片机连接电路上图是5个按键与单片机的连接图,其中一端是直接接地的,当某一个按键被按下时,与之对应的I/O 口就会被置为低电平,由于一上电时计算机的所有I/O 口都是高电平,当单片机检测到某一个I/O 口为低电平时就知道是哪个按键被按下了。
但是如果直接检测引脚是否为低电平的话是会有问题的。
请看图6。
从图中可以看出,理想波形与实际波形之间是有区别的,实际波形在按下和释放的瞬间都会有抖动现象,抖动时间的长短和按钮的机械特性有关,一般为5~10ms通常我们手动通常我们手动按下键然后释放,这个动作中稳定闭合的时间超过20ms因此单片机在检测键盘是否按下时都要加上去抖动操作,有专门的去抖动电路,但通常我们用软件延时的方法就能很容易地解决抖动的问题,因此没必要增加多余的硬件电路。
在编写程序时,一般在检测按下是加入去抖延时。
按键检测的流程图如下:因此,键盘检测部分的C源程序的写法应如下所示:void keysca n(){if(key==0){delay(10);if(key==0){(按键后要执行的语句)while(!key);〃等待按键释放}}}键盘检测部分的具体C源程序见附录。
开始寄存器及I/O 口初始Y检测是否有键释放Y执行相应的代码图7:按键检测流程图检测是否有键按下检测是否有键按下4、单元电路4 —— AT24C02存储电路图8: AT24C02存储电路单片机与AT24C02芯片通信使用|2C总线协议。