数字秒表课程设计实验报告

合集下载

数字秒表实验报告

数字秒表实验报告

数字秒表一、实验目的1、理解计时器的原理与Verilog/VHDL的编程方法;2、掌握多模块设计及层次设计的方法。

二、实验原理秒计时器是由计数器和译码器、显示器组成,其核心是计数器与译码器。

60秒计时器可由二个计数器分别完成:个位为十进制计数器,十位为6进制计数。

个位计数器的计数信号由实验开发板上主频20MHZ分频产生的1Hz 时钟信号提供■十位计数器的计数信号由个位的进位信号提供。

然后由译码器对计数结果进行译码,送LED数码管进行显示。

Clr为清零,se t为开始。

三、源程序十进制计数器:module CNT10(clr,elk,ena t q,cout); input clr,elk,ena;output[3:0: q;output cout;reg[3:0' q;reg cout;always ©(posedge elk or posedge clr) beginif(clr)begin q=4r bOOOO:cout=0:endelse if(ena)if(q==4f bl001)begin q=4F bOOOO;cout=l;endelsebegin q=q+l;cout=0;endendendmodule六进制计数器:module CNT6(clr,elk,ena,q,cout);input clr,elk,ena; output[3:0. q; output cout;reg[3:0; q;reg cout;always ©(posedge elk or posedge clr) begin if(clr)begin q=4r bOOOO;cout=0;end else if(ena) if(q=4'b0101)begin q=4F bOOOO;cout=l:end elsebegin q=q+l:cout=0;endendendmodule分频器:module FPQ(clkO,clkl):input clkO;output clkl;reg 126:0] QI;reg clkl;always®(posedge clkO) if(Ql<9999999)Ql<二Ql+1;elsebegin Ql<=0; clkl<="clkl;endendmodule四、 实验任务1、 采用层次设计的方法,设计一个包括顶层及底层模块的60秒计时器,底 层模块用VeHlog/VHDL 设计(或者选用原理图输入法中宏功能元件),顶层用 原理图设计◊2、 秒计时盧应当具有系统复位功能;五、 实验步骤1、 新建工程,注意工程名与顶层文件一致,顶层文件是最终形成的图形文件。

秒表实验报告_2

秒表实验报告_2

实验八秒表一、实验目的:1、了解数字秒表的工作原理。

2、进一步熟悉用VHDL语言编写驱动七段数码管的代码。

3、掌握VHDL编写中的一些小技巧。

二、实验要求:实现数字秒表功能,要求有分,秒,1%秒显示,该秒表能够随时控制启/停,清零重新计时功能。

三、实验原理秒表的工作原理与多功能数字电子钟大致相同,唯一不同的是,由于秒表的分辨率为0.01秒。

所以整个秒表的工作时钟是在100HZ的时钟信号下完成的。

假设该秒表的应用场合小于1小时,秒表的显示格式为mm~~ss~~xx(mm表示分钟:0~59;ss表示秒:0~~59;xx表示百分之一秒:0~~99)。

四、实验步骤1、用VHDL语言编写出秒表电路程序,通过QuartusII 进行编辑、编译、综合、适配、仿真测试。

给出其所有信号的时序仿真波形。

2、按实验要求锁定管脚,重新综合。

3、在EDA6000软件中建立实验模式。

4、下载设计文件,硬件验证秒表工作性能。

五、实验结果1、调试的过程记录在仿真图正确后开始用EDA6000进行检验,所有的管脚都连接好后,通入100Hz的脉冲,秒表开始工作2、实验结果经过调试得到了正常工作的秒表,每一个环节的跳转过程都是正常的最终的波形图:3、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stopwatch isport(clk,rst,en:in std_logic;minh,minl,sech,secl,msh,msl:out std_logic_vector(3 downto 0)); end entity;architecture behav of stopwatch issignal minhi,minli,sechi,secli,mshi,msli:std_logic_vector(3 downto 0); signal clk1,clk2:std_logic;beginprocess(clk,en,rst)beginif rst='1' then mshi<="0000";msli<="0000";elsif clk'event and clk='1' thenif en='1' thenif (mshi="1001" and msli="1001") thenmshi<="0000";msli<="0000";clk1<='1';elsif msli="1001" thenmsli<="0000"; mshi<=mshi+1;else msli<=msli+1;clk1<='0';end if;end if;end if;end process;process(clk1,en,rst)beginif rst='1' then sechi<="0000";secli<="0000";elsif clk1'event and clk1='1' thenif en='1' thenif (sechi="0101" and secli="1001") thensechi<="0000";secli<="0000";clk2<='1';elsif secli="1001" thensecli<="0000"; sechi<=sechi+1;else secli<=secli+1;clk2<='0';end if;end if;end if;end process;process(clk2,en,rst)beginif rst='1' then minhi<="0000";minli<="0000";elsif clk2'event and clk2='1' thenif en='1' thenif (minhi="0101" and minli="1001") thenminhi<="0000";minli<="0000";elsif minli="1001" thenminli<="0000"; minhi<=minhi+1;else minli<=minli+1;end if;end if;end if;end process;msh<=mshi;msl<=msli;sech<=sechi;secl<=secli;minh<=minhi;minl<=minli; end behav;。

数字秒表设计实验报告(一)

数字秒表设计实验报告(一)

数字秒表设计实验报告(一)数字秒表设计实验报告Introduction•实验目的:设计并实现一个数字秒表•实验时间:2021年10月10日至2021年10月15日•实验对象:本科计算机专业学生•实验设备:计算机、编程软件Experiment Procedure1.寻找合适的编程语言和开发工具2.设计秒表的用户界面3.编写代码实现秒表的计时功能4.测试并调试代码5.完善用户界面,添加重置和暂停功能6.进行性能测试,并分析结果Experimental Findings•选用Python编程语言和PyQt图形库进行开发•按照用户界面设计,实现了秒表的计时功能•通过测试,发现秒表计时准确性较高,误差范围小于0.1秒•添加了重置和暂停功能,提高了秒表的实用性•性能测试表明,在处理大数据量时,秒表的响应速度仍然较快Conclusion通过本次实验,我们成功设计并实现了一个功能完善的数字秒表。

通过合理的编程语言选择和用户界面设计,实验结果表明,我们的秒表具有准确的计时功能、良好的用户体验和较高的性能。

这对于计算机专业学生来说,具有较高的实用价值。

Future Work尽管我们已经取得了较好的实验结果,但仍有一些改进的空间。

在未来的工作中,我们计划:•进一步提高秒表的计时准确性,减小误差范围•探索更多的用户界面设计方案,增加更多便利的功能•优化性能,提高秒表在处理大数据量时的响应速度•结合云服务,实现秒表数据的备份和同步功能Acknowledgements感谢实验组的所有成员共同努力,以及指导老师的支持和指导,使得本次实验取得了圆满成功。

Reference无抱歉,关于数字秒表设计实验报告的文章已经终止。

数字式秒表课程设计报告

数字式秒表课程设计报告

2012 ~2013学年第 2 学期《数字电子技术》课程设计报告题目:数字式秒表专业:通信工程班级:11级通信二班姓名:涛、、文凯、芳琪王然、程洋洋、王国文、灿指导教师:王银花电气工程学院2013年6月04日1、任务书摘要关键词译码显示电路;R-S触发器;555定时器分频器在科技高度发展的今天,数字秒表在日常生活中是比较常见的电子产品,以其走时精确,使用方便,功用多而受广大用户所喜。

本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。

该数字计数系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。

其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分;复位电路是由机械开关,电阻,以及电源组成的电路部分;多谐振荡器是由555定时器以及其外围电路组成的电路分,它和分频器一起用来产生0.01秒的脉冲;译码显示电路由7448集成元件构成的电路部分;七段数码管电路由共阴极七段LED显示器,电阻和接地端组成的电路部分。

通过对各部分结构的了解,本实验从而设计出最大是为59.99秒的数字式秒表。

通过对实验了解到计数秒表的设计存在一些问题,但是这也充分说明了数字秒表还存在很大的提升空间,对计数精度可以进一步提高。

在设计实验中为了保证实验过程少走弯路,学会仿真是必要的,对本实验我们采用multism软件仿真,以便提高实验的正确性与可行性。

在平时的理论学习中遇到的问题都一一解决,加深了我对专业的了解,培养了我对学习的兴趣,为以后的学习打下了好的开端,我受益匪浅。

同时,让我明白:电子设计容不得纸上谈兵,只有自己动手实际操作才会有深刻理解,才会有收获。

目录第一章方案讨论 (1)1.1技术要求 (1)1.2方案论证与选择 (1)第二章电路设计 (2)2.1控制电路 (2)2.2 0.01秒脉冲发生电路 (2)2.3复位电路 (6)第三章实验芯片 (7)3.1计数器 (7)3.2译码器电路 (8)3.3七段数码管(LED) (9)第四章结论 (12)第五章参考文献 (12)第六章心得体会 (13)七、答辩记录及评分表 (14)附录 (15)第一章方案讨论1.1技术要求1.秒表最大计时值为59.99秒;2. 7位数码管显示,分辨率为0.01秒;3 .具有清零,启动计时,暂停及继续计数等控制功能;4.控制操作间不超过二个。

数字秒表的设计与实现实验报告

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》姓名: xxx学号:学院:指导老师:xx1摘要EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。

文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。

采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。

该设计具有外围电路少、集成度高、可靠性强等优点。

通过数码管驱动电路动态显示计时结果。

给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。

关键词:FPGA, VHDL, EDA, 数字秒表2目录第一章引言 (4)第二章设计背景 (5)2.1 方案设计 (5)2.2 系统总体框图 (5)2.3 -FPGA实验板 (5)2.4 系统功能要求 (6)2.5 开发软件 (6)2.5.1 ISE10.1简介 (6)2.5.2 ModelSim简介 (6)2.6 VHDL语言简介 (7)第三章模块设计 (8)3.1 分频器 (8)3.2 计数器 (8)3.3 数据锁存器 (9)3.4 控制器 (9)3.5 扫描控制电路 (10)3.6 按键消抖电路 (11)第四章总体设计 (12)第五章结论 (13)附录 (14)3第一章引言数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。

面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。

对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。

对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。

文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数字电路课程设计报告数字秒表

数字电路课程设计报告数字秒表

数字电路课程设计报告——数字秒表一、设计任务与技术指标:设计数字秒表,以实现暂停、清零、存储等功能。

设计精度为0.01秒。

二、设计使用器件:74LS00 多片74163 4片4511 4片NE555 1片二极管1枚LED 共阴极七段译码器 4 个导线、电阻若干三、数字秒表的构成:利用555 设计一个多谐振荡器,其产生的毫秒脉冲触发74LS163计数,计时部分的计数器由0.01s 位、0.1s 位、s 个位、和s 十位共四个计数器组成,最后通过CD4511 译码在数码管上显示输出。

由“启动和停止电路”控制启动和停止秒表。

由“接地”控制四个计数器的清零。

图1 电子秒表的组成框图四、实现功能及功能特点:(1)、在接通电源后秒表显示00:00,当接通计时开关时秒表开始计时。

(2)、清零可在计时条件下也可在暂停条件下进行。

(3)、解决了在使用163清零端和保持端时由于163默认的清零端优先级高于保持端造成的0.01秒位上无法保持到0.09的技术问题。

(4)、增加了数据溢出功能,由于是4位秒表,最多计到一分钟,当秒表到达一分钟时,秒表自动暂停显示在60:00秒处,此时二极管发亮,起警示灯作用。

清零后则可继续计时。

(5)、由于条件有限,我们自己用导线制作了电源、清零、暂停等开关以减少导线的拔插造成的面板的不美观。

下图为完整课程设计的实物图:五、课程设计原理:本课程设计由模6000计数器和其控制电路组成,模6000计数器功能由同步加法计数器74163和与非门74LS00组成。

74163的功能及用法:74163同步加法计数器具有以下功能:(1)、同步清零功能。

当清零端输入低电平,还必须有时钟脉冲CP的上升沿作用才能使各触发器清零,此过程为同步清零。

(2)、同步并行置数功能。

(3)、同步二进制加计数功能。

(4)、保持功能。

综上所述,74163是具有同步清零、同步置数功能的4位二进制同步计数器。

74163的应用:(1)、构成任意模的计数器将74163与少量门电路结合可构成任意模计数器。

数字秒表课程设计报告

数字秒表课程设计报告

目录数字秒表设计实验任务书 (1)一、设计实验目的: (1)二、设计实验说明及要求: (1)三、数字秒表组成及功能: (1)四、系统硬件要求: (1)五、设计内容及步骤: (2)六、硬件实现 (2)实验报告 (2)一、数字秒表顶层设计 (2)二、数字秒表内部设计 (3)1、分频器 (3)2、十进制计数器 (4)3、六进制计数器 (5)4、二十四进制计数器 (7)5、数据选择和数码管选择模块 (8)6、数码管驱动模块: (9)三、数字秒表仿真波形 (11)四、硬件验证 (11)五、实验总结 (11)数字秒表设计实验任务书一、设计实验目的:在MAX+plusII软件平台上,熟练运用VHDL语言,完成数字时钟设计的软件编程、编译、综合、仿真,使用EDA实验箱,实现数字秒表的硬件功能。

二、设计实验说明及要求:1、数字秒表主要由:分频器、扫描显示译码器、一百进制计数器、六十进制计数器(或十进制计数器与6进制计数器)、十二进制计数器(或二十四进制计数器)电路组成。

在整个秒表中最关键的是如何获得一个精确的100H Z 计时脉冲,除此之外,数字秒表需有清零控制端,以及启动控制端、保持保持,以便数字时钟能随意停止及启动。

2、数字秒表显示由时(12或24进制任选)、分(60进制)、秒(60进制)、百分之一秒(一百进制)组成,利用扫描显示译码电路在八个数码管显示。

3、能够完成清零、启动、保持(可以使用键盘或拨码开关置数)功能。

4、时、分、秒、百分之一秒显示准确。

三、数字秒表组成及功能:1、分频率器:用来产生100H Z计时脉冲;2、二十四进制计数器:对时进行计数;3、六进制计数器:分别对秒十位和分十位进行计数;4、十进制计数器:分别对秒个位和分个位进行计数;5、扫描显示译码器:完成对7字段数码管显示的控制;四、系统硬件要求:1、时钟信号为10MHz;2、FPGA芯片型号EPM7128LC84—15、EP1K30TC144—3或EP1K100QC208—3(根据实验箱上FPGA芯片具体选择);3、8个7段扫描共阴级数码显示管;4、按键开关(清零、启动、保持);五、设计内容及步骤:1、根据电路持点,用层次设计概念。

数字式秒表的课程设计报告范文

数字式秒表的课程设计报告范文

数字式秒表的课程设计报告范文目录第一章系统概述------------------------------------------------------------------------------11.1系统设计思路与总体方案--------------------------------------------------------------11.2总体工作过程-----------------------------------------------------------------------------21.3各功能块的划分和组成-----------------------------------------------------------------21.4芯片简介-----------------------------------------------------------------------------------2第二章单元电路的设计和总体分析------------------------------------------------------92.1毫秒信号的发生电路--------------------------------------------------------------------92.2分、秒、毫秒计数电路-----------------------------------------------------------------102.3组合设计-----------------------------------------------------------------------------------12第三章总体电路的设计与安装------------------------------------------------------------153.1PCB电路板的制作----------------------------------------------------------------------153.1安装调试的步骤--------------------------------------------------------------------------163.2遇到的主要的问题及注意事项--------------------------------------------------------17第四章总结------------------------------------------------------------------------------------18附录1元器件明细表------------------------------------------------------------------------19附录2总原理接线图------------------------------------------------------------------------19参考文献---------------------------------------------------------------------------------------20第一章系统概述1.1系统设计思路与总体方案通过对设计要求的分析,应用相关的数字电子电路方面的知识画出原理图,检查无误后,将原理图在EWB中仿真,验证通过无误后,可以考虑使用何种方案来实现设计电路。

数字秒表课程报告

数字秒表课程报告

目录一.引言1.设计目的 (2)2.设计要求 (3)3.设计内容 (3)二.电路分析1.电路总框图和各电路分析 (3)2.电路原理图和电路工作原理 (5)3.PCB图 (6)三.元件分析1.74HC160 (6)2.74LS48 (7)3.数码管 (10)4.555定时器 (11)5.元件清单 (12)四.数字秒表的测试1.整体测试 (12)2.准确度测试 (13)五.总结和收获 (13)数字秒表设计一.引言数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。

本设计中数字秒表的最大计时是9.9秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。

在本次实验中由两片74HC160构成两片74LS48实现秒表的计数功能。

由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器产生100HZ的信号,用两个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。

1.设计目的(1)运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能和创新能力。

(2)建立数字电子电路系统的基本概念。

(3)熟悉555方波振荡器的应用。

(4)熟悉计数器的级联及级数、译码和显示电路的整体配合。

(5)熟练掌握protel99SE软件。

(6)掌握数字秒表的组成和工作原理。

(7)加强制板和焊接能力。

2.设计要求(1)以0.1秒为最小单位。

(2)秒表可显示0~9.9秒的量程。

(3)该秒表具有清零、开始计时、暂停计时和停止计时的功能。

3.设计内容(1)完成数字秒表的设计,画出电路原理图。

(2)运用protel99SE软件画出PCB图。

(3)制板、装元件并焊接出数字秒表的整体设计电路。

(4)测试数字秒表的清零、开始计时、暂停计时和停止计时的功能。

数字逻辑实验报告(秒表)

数字逻辑实验报告(秒表)

数字逻辑实验报告秒表设计[日期]MICROSOFT[公司地址]一、 实验目的二、实验内容及要求实验要求设计并实现一个数字秒表。

该秒表要实现以下功能:1、该秒表可以产生稳定的脉冲信号作为秒表的计时基准。

该秒表可以实现暂停、停止。

2、该秒表可实现暂停、恢复计数和清零功能。

3、改秒表具有十进制的数字显示功能。

三、实验电路总体结构设计根据设计要求,可以构造出数字秒表的结构框图,如图3-1所示。

该数字秒表的工作原理是:由秒脉冲发生电路产生稳定的秒脉冲信号,经过控制开关输出到计数器中。

秒计数器计满10后向秒十计数器产生进位脉冲。

计数器的输出经显示译码器译码后送显示器显示。

图3-1四、实验电路详细设计1、秒脉冲发生电路设计由于5G555具有电源范围宽、定时精度高、使用方法灵活等特点,我们采用由5G555构成的多谐振荡器产生秒脉冲信号。

5G555功能表如表3-2所示。

表3-2 5G555功能表 输入 输出TH TR RD OUT 放电三极管 d d 0 0 导通 >2/3Vcc >1/3Vcc 1 0 导通 >2/3Vcc <1/3Vcc 1 1 截止 <2/3Vcc >1/3Vcc 1 不变 不变计数器译码及显示电路显示 显示译码 译码 秒十计数秒计数 秒脉冲发生电路振荡器<2/3Vcc <1/3Vcc 1 1 截止由5G555构成的多谐振荡器如图3-1所示。

图3-1由图4-1可知,电路由两个外加电阻和一个电容组成。

5G555的D 端经R1接至电源VCC ,构成一个反相器。

电阻R2和电容C 构成积分电路。

积分电路的电容电压Vc 作为电路输入至TH 和TR 。

电路工作原理如下。

由于接通电源瞬间,电容C 来不及充电,电容器两端电压Vc 为低电平,小于(1/3)Vcc ,故TH 端电压<2/3Vcc 与TR 端电压<1/3Vcc ,输出OUT 状态为1,放电三极管T 截止。

数字秒表课程设计报告心得

数字秒表课程设计报告心得

数字秒表课程设计报告心得一、课程目标知识目标:1. 学生能够理解数字秒表的基本概念,掌握其工作原理和功能。

2. 学生能够运用数字秒表进行计时,进行时间加减运算,并解决实际问题。

3. 学生了解数字秒表在日常生活和体育竞技中的应用。

技能目标:1. 学生掌握数字秒表的操作方法,包括启动、停止、复位等功能。

2. 学生能够运用数字秒表进行简单的时间测量,提高实际操作能力。

3. 学生能够通过数字秒表进行时间数据分析,提高解决问题的能力。

情感态度价值观目标:1. 培养学生对数字秒表及相关电子产品的兴趣,激发学习科学技术的热情。

2. 培养学生严谨、细心的实验态度,养成良好的实验习惯。

3. 增强学生的团队协作意识,提高沟通与交流能力。

课程性质分析:本课程为四年级科学课的实验部分,结合数字秒表的实际应用,培养学生动手操作能力和实践探究能力。

学生特点分析:四年级学生具备一定的科学知识和实验技能,对新鲜事物充满好奇,但操作能力和数据分析能力有待提高。

教学要求:1. 注重实践操作,让学生在实际操作中掌握数字秒表的使用方法。

2. 引导学生运用数字秒表进行时间测量,培养学生的实际应用能力。

3. 结合日常生活和体育竞技,提高学生对数字秒表价值的认识。

二、教学内容1. 数字秒表的基本概念与原理:- 介绍数字秒表的结构、工作原理及功能。

- 解释数字秒表的计时单位及精度。

2. 数字秒表的操作与应用:- 演示数字秒表的启动、停止、复位等基本操作。

- 指导学生进行实际操作,如测量短距离跑步、跳远等运动的时间。

3. 时间数据的处理与分析:- 教授如何记录和处理数字秒表测量得到的时间数据。

- 引导学生运用数据分析解决实际问题,如计算平均速度、比较运动成绩等。

4. 数字秒表在生活中的应用:- 讲解数字秒表在日常生活中的应用,如烹饪、运动锻炼等。

- 分析数字秒表在体育竞技中的作用,如计时、评分等。

教材章节关联:本教学内容与四年级科学教材中“时间与运动”章节相关,涉及时间测量、数据分析等内容。

数字秒表试验报告

数字秒表试验报告

基于LCD显示的秒表设计--------------- EDA电子综合设计姓名:班级:学号:指导老师:时间:2012.6.28基于LCD 显示的秒表设计一:设计目的:1、设计的秒表具有清零、暂停/继续技术功能,清零通过拨码开关控制,暂 停/继续通过按键控制,按下一次暂停,按下两次继续。

2、秒表计时范围0—9999.999秒,精度到ms 。

2、LCD 实施显示秒表计时状态。

3、系统时钟采用实验板上提供的50MHz 时钟信号源。

4、设计成同步电路模式。

二:设计原理本实验主要分为四大模块(按键处理,分频,计数,显示)。

下面我将分块阐述: 1:按键处理模块此模块是为了让key1按键即pause 没按下一次有不同的状态。

清零(clear=0)通过拨码开关控制,暂停/继续(pause)通过按键控制,按下一次(pause=1)暂停,按下两次(pause=0)继续。

同步复位键由按键开关控制。

Key D[1] clk主要思想是:通过两个D 触发器使按键通过D[0],和D[1]时产生一个时钟的延时,其目的是没按下一次按键产生一个延时一个时钟的脉冲en_tmp ,通过对en_tmp 的判断是否为高电平实现输出脉冲en 的翻转。

2.分频模块:因为计数模块精确到1ms 所以需将20ns 的系统时钟(clk )分频为1ms 时钟(clk_out);否是开始 Posedge clk? i++D 触发器 D 触发器否是3:计数模块:设计要求显示9999.999,所以每一位用4为二进制表示从0-9的显示,共有4x7=28位二进制数,为方便叙述和代码的书写我将这七个数从高到低定义为4位的Q,B,S,G ,P1,P2,P3。

是否否是i=24999? clk_out=~clk_out 结束 开始Rst=0? Pause=0? 计数 清零 clear=0? 复 位计数小部分:否 是否是否.... ...............结束 赋 值 Posedge clk_out?P3++ P3=9? P2++ 结束开 始 P2=9? P3++4.lcd显示模块:关键点在于ASCII码中数字0为30,1为31,······,因此只需将4b'0011赋值给lcd_data_out的高四位,Q,B,S,G,P1,P2,P3赋值给lcd_data_out的低四位即可显示Q,B,S,G,P1,P2,P3上对应的数值。

实验5 数字秒表

实验5 数字秒表

实验5 数字秒表一、实验目的1、熟练掌握数字系统中整数分频器和任意进制计数器的设计;2、学习利用分频器和计数器设计小型数字系统;3、掌握VHDL语言进行较复杂数字系统设计;二、实验原理数字秒表的逻辑结构主要由分频器、十进制计数器组成,如下图5.1所示,计时精度为0.01s。

设计时首先需要获得一个比较精确的100Hz计时脉冲,即周期为1/100秒的计时脉冲。

模块CLKGEN是100Hz 的时钟信号发生器,它实现从高频3MHz到100Hz的分频。

其次,需要对每一计数器设置清零信号和时钟使能(计时允许)信号,以作为秒表的计时起停控制开关。

6个计数器的计数值通过外设的BCD译码器输出显示。

图5.1的6个4位二进制计数器输出的显示值分别为:DOUT[3..0]→ 1/100s,DOUT[7..4]→ 1/10s,DOUT[11..8]→ 1s,DOUT[15..12]→ 10s,DOUT[19..16]→ min,DOUT[3..0]→ 10min三、实验内容1、编写实现从高频3MHz到100Hz的分频模块CLKGEN的VHDL源程序,文件名为“CLKGEN.VHD”,并对其进行编译和仿真,生成元件符号入库。

CLKGEN模块的VHDL语言参考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CLKGEN ISPORT(CLK:IN STD_LOGIC;NEWCLK:OUT STD_LOGIC);END CLKGEN;ARCHITECTURE BEHA V OF CLKGEN ISSIGNAL CNTER: INTEGER RANGE 0 TO 29999;BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1' THENIF CNTER=29999 THEN CNTER<=0;ELSE CNTER<=CNTER+1;END IF;END IF;END PROCESS;PROCESS(CNTER)BEGINIF CNTER=29999 THEN NEWCLK<='1';ELSE NEWCLK<='0';END IF;END PROCESS;END BEHA V;2、自行设计图5.1中的两个计数器CNT10(10进制计数器)和CNT6(6进制计数器),根据图5.1完成整个数字秒表的全部设计,并对其进行编译、综合、仿真和硬件验证。

数字式秒表实验报告

数字式秒表实验报告

数字式秒表实验报告摘要本次设计任务是设计一个数字式秒表经查阅资料后我把实验分为1.脉冲产生部分。

2.电路控制部分。

3.计数部分4.译码部分。

5显示部分。

脉冲产生部分我选择555多谐振荡器,产生100Hz的脉冲。

经参考资料,电路控制部分:启动和暂停控制开关使用由RS触发器组成的无抖动开关。

使用74ls160计数器计数,7447译码器驱动共阳极七段显示器。

实验要求1.秒表最大计时值为99分59.99秒;2. 6位数码管显示,分辨率为0.01秒;3 .具有清零,启动计时,暂停及继续计数等控制功能;4.控制操作间不超过二个。

实验分析数字式秒表,所以必须有一个数字显示。

按设计要求,须用七段数码管来做显示器。

题目要求最大记数值为99,59,99,那则需要六个数码管。

要求计数分辨率为0.01秒,并且需要相应频率的信号发生器。

选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。

经过查询资料,555多谐振荡器性能稳定,故采用555多谐振荡器。

数字式秒表是一个频率(100HZ)进行计数的计数电路。

由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ的时间信号必须做到准确稳定。

数字电子钟的总体图如图所示。

由图可见,数字电子钟由以下几部分组成:555振荡器秒脉冲发生器,防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等七段显示器译码器译码器译码器1005551. 555构成的多谐振荡器555构成的多谐振荡器电路图555多谐振荡器工作波形多谐振荡器工作波形周期计算2.多谐振荡器仿真图根据设计要求,需要产生一个频率为100HZ的信号,由于f=1/T,带入可以算出R1=R1=4.7KΩ,在仿真软件上仿真的时候可以设置电阻为4.7KΩ,加上一个50Ω的电位器来调节脉冲信号的精确度。

数字秒表设计总结报告

数字秒表设计总结报告

数字秒表课程设计总结报告一、课题名称数字秒表设计二、内容摘要本实验要求设计一个计数范围在0.0—9.9秒的数字秒表。

电路设计基本包括0.1秒脉冲发生器,信号控制端,整形电路,计数电路,译码电路和显示器这几部分构成。

0.1秒脉冲发生器由555定时器构成的多谐振荡电路实现,由3端口接入计数器的时钟端。

信号控制端由RS触发器实现,能够对整个电路进行清零、计数、停止和复位的作用。

整形电路有单稳态触发器构成,对RS触发端输出的信号进行整形,但不改变其逻辑符号。

本实验的技术器由两个十进制BCD码74LS160级联而成。

在计数器的四个输出端分别接译码器的四个置数端,译码器由74LS48实现。

这个电路设有两个开关K1,K2,通过K1,K2的置0和置1来实现对电路的清零、计数、暂停、复位的控制。

这样,一个简易的数字秒表便设计完成了。

三、课题任务,指标,功能要求课题任务:用中小规模集成电路设计一个数字秒表。

指标:计数范围在0.0—9.9秒之间。

功能要求:有清零、计数、停止和复位的功能。

四、单元框图五、单元电路设计,参数计算,元器件选择1、0.1秒脉冲发生器:参数计算:T=0.7(Ra+2Rb)C555定时器构成多谐振荡器,其芯片功能表如下:TH TR非R非OUT DISX X L L 导通>2/3Vcc >1/3Vcc H L 导通<2/3Vcc >1/3Vcc H 原状态原状态<2/3Vcc <1/3Vcc H H 关断注明:6脚为THR,触发器输入端,低电平有效。

2脚为TRI,阀值输入端,高电平有效。

4脚为RST,总复位端,低电平有效。

7脚为DIS,放电端。

5脚为CON,控制端。

1脚接地,8脚接电源。

3脚为输出端。

TD为内部三极管。

其管脚图如下所示:2、信号控制端,RS触发器,实现对这个电路的清零、计数、停止、复位功能。

RS触发器,其功能表如下:Rd非Sd非Q n+1Q n+1非1 1 Q n Q n非0 1 0 11 0 1 00 0 1* 1*注:RS触发器可由导线与74LS00二输入与非门构成Rd非和Sd非都为1时,基本RS触发器实现信号保持功能,即Q n+1=Q n,Q n+1非=Q n非;当Rd非=0时,基本RS触发器直接置零;当Sd非=0时,基本RS触发器置1.3、计数器用74LS160实现:输入输出CP Rd非LD非EP ET A B C D QA QB QC QD ×L ×××××××L L L L ↗H L ×× A B C D A B C D ×H H L ×××××保持×H H ×L ××××保持↗H H H H ××××计数↗H L ××L L L L L L L L 注:当Rd非=0时,计数器清零;当Rd非=1,LD非=0时,计数器预置数;当前两者都为1,EP或ET为0时,计数器有保持功能;当四者全为1时,计数器进行计数功能。

电子秒表课程设计报告

电子秒表课程设计报告

电子秒表1.设计课题任务及指标1.通过本设计的选题、方案论证、设计计算、安装调试、资料整理、撰写“设计报告”等环节, 初步掌握电子工程设计方法和组织实施的基本技能, 深化、扩展并综合运用课堂上所学的电子电路分析设计方法以及集成电路知识完成小系统的电路设计。

2.利用基本脉冲发生器及计数、译码、显示等单元电路设计数字秒表。

3.在实验装置上或者利用仿真软件完成数字秒表的线路连接和调试。

功能要求:基本要求: 计时从1s至99s;有置数、复位功能;能用开关灵活启动和停止秒表。

扩展功能: 有倒计时功能;能计时从0.1s至9.9s。

2.系统设计方案论证所作为数字式秒表, 所以必须有数字显示。

按设计要求, 须用数码管来做显示器。

题目要求最大记数值为99秒, 那则需要两个数码管。

要求计数分辨率为1秒, 那么则需要相应频率的信号发生器。

选择信号发生器时, 有两种方案:一种是用晶体震荡器, 另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。

由于熟悉程度, 本组采用集成电路555定时器与电阻和电容组成的多谐振荡器。

秒表功能中要求有复位功能、能用开关灵活启动和停止秒表, 则控制电路的方案也有两种:方案一, 用74ls08和74ls32及一个3控拨码开关;方案二, 用2个单刀双掷开关及多个单刀开关。

为了能够灵活的控制秒表, 我们选用方案一。

计数部分使用两个74LS192十进制计数器, 这种计数器能够简捷的进行顺逆计时, 为了方便简单译码显示电路采用了74ls48与共阴极七段数码管。

系统框图:图1 流程图4.单元电路设计4.1电源与总控开关图2 电源与总控开关电源由干电池提供;R1为保护电阻;S1为电路总控开关。

4.2脉冲发生器(由555构成的多些振荡器)图3 脉冲发生电路图图4 NE555管脚图由于频率f=1.43/(R1+2R2)C=1Hz, (1)产生1Hz频率,所以, 电容C1=0.33u, 电阻R2=100KΩ, R3=2.2MΩ。

数字秒表设计实验报告

数字秒表设计实验报告

数字秒表设计实验报告数字秒表设计实验报告1. 引言•简要介绍实验的目的和意义2. 设计原理•介绍数字秒表的基本原理和工作流程3. 实验步骤•列出实验的具体步骤和操作流程4. 实验结果分析•分析实验过程中的数据和观测结果•对实验结果进行解释和讨论5. 实验结论•给出实验的总结和结论6. 实验改进•提出对实验的改进建议和优化方案7. 参考资料•引用相关的文献和资料来源8. 附录•将实验过程中的数据、图表等附加在文末作为附录以上为一个大致的框架,具体内容根据实验的实际情况进行填写。

本实验报告使用Markdown格式,通过使用标题和列表等语法,使文章更加清晰易读。

注意,为了遵守规则,本文中不包含实际的字母、图片或网址。

希望这份指导对你有所帮助!数字秒表设计实验报告1. 引言•实验目的:本实验旨在设计一个数字秒表,用于测量时间,并掌握数字电路的设计原理和实践技能。

•实验意义:准确测量时间是科学研究和生产实践中的重要要求,数字秒表作为计时测量的常用工具,具有广泛的应用价值。

2. 设计原理•数字秒表的基本原理是利用稳定的时钟信号源产生时间基准,通过计数器、时钟分频电路和显示模块实现对时间的测量和显示。

3. 实验步骤1.首先确定秒表的最高位数,根据实际需求选择适当的位数。

2.设计计数器电路,使用计数器芯片进行计数,根据最高位数确定计数器的范围。

3.设置时钟分频电路,通过将时钟信号分频得到适合计数器工作的时钟频率。

4.连接计数器和时钟分频电路,确保二者能够正确配合。

5.设计显示模块,将计数器的输出转换为数字形式,用于显示具体的时间数值。

6.连接显示模块和计数器,进行正确的信号传递和信息显示。

7.进行测量和验证,检查秒表的测量准确性并进行调整。

4. 实验结果分析•对实验过程中的数据和观测结果进行分析•通过比较测量结果与标准时间的差异,评估秒表的准确性•分析秒表存在的潜在问题并提出解决方案5. 实验结论•总结实验设计和实验过程•归纳出实验结果和分析的要点•得出对设计的数字秒表的结论,包括准确性、可靠性和实用性等方面的评价6. 实验改进•针对实验中发现的问题,提出改进的建议和优化方案•探讨可能的改进措施,包括电路设计、算法优化、显示方式等方面的改进7. 参考资料•[1] 电子技术实验教程,XXX出版社•[2] 数字电路原理与设计,XXX出版社8. 附录•实验数据表格•电路图和连接图•实验中使用的元器件清单以上为数字秒表设计实验报告的大致框架,实验的具体内容和结果分析部分需要根据实际情况进行填写。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档