电子秒表设计实验报告

合集下载

秒表实验报告_2

秒表实验报告_2

实验八秒表一、实验目的:1、了解数字秒表的工作原理。

2、进一步熟悉用VHDL语言编写驱动七段数码管的代码。

3、掌握VHDL编写中的一些小技巧。

二、实验要求:实现数字秒表功能,要求有分,秒,1%秒显示,该秒表能够随时控制启/停,清零重新计时功能。

三、实验原理秒表的工作原理与多功能数字电子钟大致相同,唯一不同的是,由于秒表的分辨率为0.01秒。

所以整个秒表的工作时钟是在100HZ的时钟信号下完成的。

假设该秒表的应用场合小于1小时,秒表的显示格式为mm~~ss~~xx(mm表示分钟:0~59;ss表示秒:0~~59;xx表示百分之一秒:0~~99)。

四、实验步骤1、用VHDL语言编写出秒表电路程序,通过QuartusII 进行编辑、编译、综合、适配、仿真测试。

给出其所有信号的时序仿真波形。

2、按实验要求锁定管脚,重新综合。

3、在EDA6000软件中建立实验模式。

4、下载设计文件,硬件验证秒表工作性能。

五、实验结果1、调试的过程记录在仿真图正确后开始用EDA6000进行检验,所有的管脚都连接好后,通入100Hz的脉冲,秒表开始工作2、实验结果经过调试得到了正常工作的秒表,每一个环节的跳转过程都是正常的最终的波形图:3、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stopwatch isport(clk,rst,en:in std_logic;minh,minl,sech,secl,msh,msl:out std_logic_vector(3 downto 0)); end entity;architecture behav of stopwatch issignal minhi,minli,sechi,secli,mshi,msli:std_logic_vector(3 downto 0); signal clk1,clk2:std_logic;beginprocess(clk,en,rst)beginif rst='1' then mshi<="0000";msli<="0000";elsif clk'event and clk='1' thenif en='1' thenif (mshi="1001" and msli="1001") thenmshi<="0000";msli<="0000";clk1<='1';elsif msli="1001" thenmsli<="0000"; mshi<=mshi+1;else msli<=msli+1;clk1<='0';end if;end if;end if;end process;process(clk1,en,rst)beginif rst='1' then sechi<="0000";secli<="0000";elsif clk1'event and clk1='1' thenif en='1' thenif (sechi="0101" and secli="1001") thensechi<="0000";secli<="0000";clk2<='1';elsif secli="1001" thensecli<="0000"; sechi<=sechi+1;else secli<=secli+1;clk2<='0';end if;end if;end if;end process;process(clk2,en,rst)beginif rst='1' then minhi<="0000";minli<="0000";elsif clk2'event and clk2='1' thenif en='1' thenif (minhi="0101" and minli="1001") thenminhi<="0000";minli<="0000";elsif minli="1001" thenminli<="0000"; minhi<=minhi+1;else minli<=minli+1;end if;end if;end if;end process;msh<=mshi;msl<=msli;sech<=sechi;secl<=secli;minh<=minhi;minl<=minli; end behav;。

数字电子秒表设计总结报告1

数字电子秒表设计总结报告1

数字电子秒表设计总结报告一.工作原理本数字电子秒表设计由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成。

如下图所示:启动清零复位电路主要由U6A 、U6B 、U7B 、U7D 组成,其本质是一个RS 触发器和单稳态触发器。

J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。

开始时把J1合上,J2打开,运行本电路,数字秒表正在计数。

当打开J1,合上J2键,J2与地相接得到低电平加到U6B 的输入端,U6B 输出高电平又加到U6A 的输入端,而U6A 的另一端通过电阻R15与电源相接得到高电平,(此时U6B 与U6A 组成RS 触发器),U6A 输出低电加到U7A 的输入端,U7A 被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。

当J1合上时,打开J2键,J1与地相接得到低电平加到U6A 的输入端,U6A 输出高电平加到U6B 的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的QD ---QA输出0000,经U1、U2译码输出驱动U9、U10显示“00”。

因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。

同时U6A输出高电平加到U7A的输入端,将U7A打开,让555的3脚输出100KHZ的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。

当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。

电子秒表实验报告

电子秒表实验报告

电子秒表实验报告电子秒表实验报告引言:电子秒表是一种常见的计时工具,广泛应用于实验室、体育比赛和日常生活中。

本实验旨在通过对电子秒表的使用和测量,深入了解其工作原理和准确性。

实验目的:1. 理解电子秒表的工作原理;2. 掌握正确使用电子秒表的方法;3. 比较电子秒表与传统秒表的准确性。

实验材料和方法:1. 实验材料:电子秒表、传统秒表、计时器、待测物体;2. 实验方法:a. 将电子秒表和传统秒表校准至同一起点;b. 使用电子秒表和传统秒表分别计时待测物体的时间;c. 重复多次实验,记录数据并计算平均值;d. 比较电子秒表和传统秒表的准确性。

实验结果与讨论:通过多次实验,我们得到了以下数据:实验次数 | 电子秒表计时(s) | 传统秒表计时(s)---------------------------------------1 | 10.23 | 10.202 | 10.21 | 10.183 | 10.25 | 10.224 | 10.24 | 10.195 | 10.22 | 10.21通过计算平均值,我们可以得到电子秒表的平均计时为10.23秒,传统秒表的平均计时为10.20秒。

可以看出,两者的计时结果非常接近,差距在0.03秒以内。

这个结果表明,电子秒表在准确性方面与传统秒表相当。

其准确性主要依赖于内部的计时装置,通常采用晶体振荡器,其频率非常稳定。

而传统秒表则依赖于人工操作,容易受到人为因素的影响,如反应时间和手动操作的误差。

此外,电子秒表还具有其他优点。

首先,它可以提供更精确的计时结果,小数点后几位的精度可以满足实验的要求。

其次,电子秒表通常具有计时、计数、暂停和复位等功能,更加灵活方便。

最后,电子秒表还可以记录多次计时结果,并进行平均值计算,提高数据的可靠性。

然而,电子秒表也存在一些局限性。

首先,它依赖于电池供电,一旦电池耗尽,计时功能将无法使用。

其次,对于某些特殊实验,如高温、高压环境下的计时,电子秒表可能无法正常工作。

电子秒表实验报告

电子秒表实验报告

电子技术设计性实训报告学号:211002146姓名:邱富烨同组人:夏文彬班级:03班指导老师:林雪健日期:2012.09.07目录一.实训目的---------------------------------------------------3二.设计功能要求---------------------------------------------3 三.电路设计---------------------------------------------------4 (一)电路框图--------------------------------------------4 (二)单元电路分析-------------------------------------4四.设计总图及其工作原理---------------------------------5 (一)工作原理--------------------------------------------5 (二)元件清单--------------------------------------------5五.电路调试--------------------------------------------------6(一) 调试过程--------------------------------------------6(二)故障分析与排除-----------------------------------7六.实训心得---------------------------------------------------8一. 实验目的1. 对芯片74LS160芯片以及555的功能的更形象的认知。

2.增强使用EWB软件的能力。

3.进一步提高独立分析问题和解决问题的能力。

4.掌握数字系统的分析和设计方法。

5.对数字集成电路的综合应用有进一步的认识和理解。

电子秒表课程设计设计报告

电子秒表课程设计设计报告

电子秒表电路设计实验报告一. 实验目的1.进一步提高独立分析问题和解决问题的能力。

2.掌握数字系统的分析和设计方法。

3.对数字集成电路的综合应用有进一步的认识和理解。

二. 设计题目:制作一个简易的电子秒表 功能要求:(1) 具有两位数码显示。

分别显示1/10秒和秒计数。

(2) 有两个按键分别控制启动(开始计时)/停止和清零。

功能表如下:三、概述:要完成题目要求的电子秒表功能,系统应具有如下几部分电路: 1、定时电路;题目要求最小计时单位为1/10秒,即100ms 。

这部分电路必须能准确的产生周期为100ms (频率为10Hz )的时钟信号。

2、计时电路:题目要求系统具有两位显示器,分别显示秒和1/10秒信号。

所以本系统应具有两个十进制计数器,分别对定时信号进行计数,以产生1/10秒和秒计数。

系统计数范围从0.0~9.9秒。

3、显示译码驱动电路:将计数器的计数结果(BCD 码)通过译码器译成七段显示码并驱动LED 数码管显示出来。

4、控制电路:根据题目要求,本电子秒表应具有两个按键。

其中一个控制秒表的启/停,本按键应有自锁功能,按一次启动计时,再按一次停止计时。

另一个按键控制清“0”,本按键不需自锁,按下时系统清“0”;放开时系统回复正常计时功能。

系统电路结构框图如图1所示。

图1 系统结构框图四、电路设计方案:1、定时电路:系统的定时电路要求产生周期为100ms的时钟信号。

在此我们用555定时器来实现。

定时器是电子秒表的核心,其作用是产生一个标准频率10赫兹的脉冲信号。

振荡频率的精度和稳定度决定了秒表的质量(如图2),图3为脉冲信号宽度图2 555定时器器图3 脉冲信号2、计时电路:本电路需要两位十进制加法计数器,对定时电路的时钟信号进行计数。

可用两片74LS160实现。

74LS160是同步十进制加法计数器,其功能表如下:应用两片74LS160组合级联可构成100进制计数器。

其级联方式可分为串行进位方式和并行进位方式两种。

电子秒表电路实验报告1

电子秒表电路实验报告1

电子技术课程设计报告设计题目:电子秒表院(部):物理与电子信息学院专业班级:电子信息工程学生姓名:学号:指导教师:摘要秒表应用于我们生活、工作、运动等需要精确计时的方面。

它由刚开始的机械式秒表发展到今天所常用的数字式秒表。

秒表的计时精度越来越高,功能越来越多,构造也日益复杂。

本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。

复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。

针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。

其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。

关键字:555定时器十进制计数器六进制计数器多谐振荡器目录1.选题与需求分析 (1)1.1设计任务 (1)1.2 设计任务 (1)1.3设计构思 (1)1.4设计软件 (2)2.电子秒表电路分析 (3)2.1总体分析 (3)2.2电路工作总体框图 (3)3.各部分电路设计 (4)3.1启动与停止电路 (4)3.2时钟脉冲发生和控制信号 (4)3.3 设计十进制加法计数器 (6)3.4 设计六进制加法计数器 (7)3.5 清零电路设计 (8)3.7 总体电路图: (10)4 结束语与心得体会 (12)1.选题与需求分析1.1设计任务电子秒表在生活中可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。

有机械秒表和电子秒表两类。

机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中。

电子秒表设计实验报告

电子秒表设计实验报告

淮阴工学院《数字电子技术》课程实验期末考核2014-2015学年第2学期实验名称:电子秒表电路的设计班级:学号:姓名:学院:电子与电气工程学院专业:自动化系别:自动化指导教师:《数字电子技术》实验指导教师组成绩:2015年07月电子秒表电路的设计一、实验目的1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。

2 .学习电子秒表的调试方法。

二、实验原理图11 -1 为电子秒表的电原理图。

按功能分成四个单元电路进行分析。

1.基本RS 触发器图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。

属低电平直接触发的触发器,有直接置位、复位的功能。

它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。

按动按钮开关K2(接地),则门1 输出=1 ;门2 输出Q =0 ,K2复位后Q 、状态保持不变。

再按动按钮开关K1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。

由1 变0 ,送出负脉冲,启动单稳态触发器工作。

基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。

2. 时钟发生器图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的时钟源。

调节电位器R W,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于计数器①的计数输入端CP2。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列3.计数及译码显示二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。

其中计数器①接成五进制形式,对频率为50HZ 的时钟脉冲进行五分频,在输出端Q D取得周期为0.1S 的矩形脉冲,作为计数器②的时钟输入。

计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。

电子秒表 实验报告

电子秒表 实验报告

目录1绪论 (1)1、1课题背景 (1)1、2秒表的发展趋势 (1)1、3本课题研究内容 (2)2研究方案与预期成果 (2)2、1研究方案 (2)2、2预期成果 (2)3设计任务与思想 ........................................................................... 错误!未定义书签。

3、1设计任务 .......................................................................... 错误!未定义书签。

3、2设计目的 .......................................................................... 错误!未定义书签。

3、3设计总体思想 ......................................................................... 错误!未定义书签。

4系统硬件设计 (4)4、1系统硬件设计框图 (4)4、2 LED显示电路 .......................................................................... 错误!未定义书签。

4、3时钟分频计数电路 ............................................................ 错误!未定义书签。

4、4秒脉冲电路....................................................................... 错误!未定义书签。

4、5 控制开关电路................................................................... 错误!未定义书签。

数字电路实验四:电子秒表

数字电路实验四:电子秒表

2、电子秒表的整体测试
各单元电路测试正常后,按总图把几个单 元电路连接起来,进行电子秒表的总体测试。
要求计时至少2分钟,利用参考时间源测试 其误差。
分析电子秒表误差原因。
4、自主练习 74ls90及74ls92各种进制级联。 例:2——10进制级联;
10——2进制级联; 上次布置的思考题
········
经过5分频,产生频率10HZ,周期0.1 S的计数时钟信号
T TW1 TW 2 TW1 0.7R1 R 2 C TW 2 0.7R2C
实验原理
现代电子技术实验
50HZ
5分频
10HZ
五、总电路图
现代电子技术实验
现代电子技术实验
六、实验内容
1、脉冲源的调测
现代电子技术实验
用示波器观察输出电压波形并测量其频率,调节 RW,使输出矩形波频率为50Hz,画出其波形。
产生清零信号单稳态电路来自实验原理 2、停止电路
Q=0
Q=1
现代电子技术实验
时钟信号
C

非 门 计数脉冲
若停止键按下, Q将变为0。
实验原理
停止电路
Q=01
Q=01
现代电子技术实验
时钟信号
C

非 门 无计数脉冲
停止键按下, Q=C变为0。
实验原理
现代电子技术实验
3、脉冲源电路
产生频率为50HZ,周期0.02 S的信号
2 、按下启动键开始清零计时,按 下停止键,停止。
三、实验框图
电子秒表的组成框图
现代电子技术实验
四、实验原理
Q=1
Q=0
现代电子技术实验
1、启动电路
R-S触发器

电子秒表实训报告.doc

电子秒表实训报告.doc

电子秒表实训报告电子秒表 1 电路的结构设计 1.1 引言随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。

人们对它的认识也逐步加深。

在秒表的设计上功能不断完善,在时间的设计上不断的精确,人们也利用了电子技术以及相关的知识解决了一些实际问题。

秒表的设计是由555芯片提供的,秒表时间由相关的电阻与电容的大小决定。

电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合,如测定短时间间隔的仪表。

秒表有机械秒表和电子秒表两类。

机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面。

在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便。

充分利用定时器,能有效的加强我们的工作效率。

目前数字电子技术已经广泛地应用于计算机、自动控制、电子测量仪表、电视、雷达、通信等各个领域。

例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高、功能强,而且容易实现测量的自动化和智能化。

随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。

随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会,通过这种综合性训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。

本次实训是基于数字电路和模拟电路的电子秒表的设计思路及实现方法。

本实训中,充分利用数字电路的计数、译码、显示的优良特性,使整个电路设计达到了比较满意的效果。

本电路设计主要有时基产生电路、电源电路、分频电路、计数与译码电路(包括显示电路)、开关按钮电路组成。

这次实训不但对以前的知识进行巩固,而且学会了更多的新知识,提高思维、强化动手能力,能够更好地适应和走上工作岗位,为以后的就业打下一定的基础。

电子技术综合实验报告-秒表

电子技术综合实验报告-秒表
用 sel[2..0]和q[6..0]分别接7 段数码管的选择端SEL[2..0]和g~a。 使
流 交 习 学 供 仅
程序 1)分频程序
module fenpin100Hz(clk,hz,hz_1k); input clk; output reg [3:0]hz;
output reg [15:0]hz_1k;
if(hz_1k==47999) hz_1k<=0; else hz_1k<=hz_1k+1;
always @(negedge hz_1k[16]) if(hz==9)
hz<=0; else
结果用 8 只数码管显示;有两个按钮开关,一个按钮使秒表复位,
另一个按钮控制秒表的启动/暂停。
下图显示了该跑表前面板的基本排布构想。
Stopwatch
POWER
RESET
RUN/STOP
(五)实验内容:Verilog 的语法,建模,设计时间测量的方法:
使用 现在时间测量一般采用数字计器来进行
(六) 实验原理
module jishu_jinwei(hz,rst,stop,n_1,n_2,n_3,n_4,n_5,n_6); input hz; input stop; input rst; output reg [3:0]n_1,n_2,n_3,n_4,n_5,n_6;
wire [3:0]cnt_1,cnt_2,cnt_3,cnt_4,cnt_5,cnt_6; *//Jinwei U2、U3、U5、U9 是十进制位,jinwei_6 U4、U6 是六进制位//*
input [3:0]num; output reg [6:0]led; 译码仿真图

使
பைடு நூலகம்

数电--电子秒表实验

数电--电子秒表实验

实验三、四电子秒表设计一、实验目的1.学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用2.学习电子秒表的调试方法二、实验设备1. +5V直流电源2. 双踪示波器3. 直流数字电压表4. 数字频率计5. 单次脉冲源6. 连续脉冲源7. 逻辑电平开关8. 逻辑电平显示器9. 译码显示器10. 74LS00×2、555×1、74LS90×311.电位器、电阻、电容若干三、实验原理图4.6.1为电子秒表的电原理图。

按功能分成四个单元电路进行分析。

1. 基本RS触发器图4.6.1中单元I为用集成与非门构成的基本RS触发器。

属低电平直接触发的触发器,有直接置位、复位的功能。

它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。

按动按钮开关K2(接地),则门1输出Q=1;门2输出Q=0,K2复位后Q、Q状态保持不变。

再按动按钮开关K1 ,则Q由0变为1,门5开启, 为计数器启动作好准备。

Q由1变0,送出负脉冲,启动单稳态触发器工作。

基本RS触发器启动和停止秒表电子秒表工作。

2. 单稳态触发器图4.6.1中单元Ⅱ为用集成与非门构成的微分型单稳态触发器。

单稳态触发器的输入触发负脉冲信号V i由基本RS触发器Q端提供,输出负脉冲V O通过非门加到计数器的清除端R。

静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻R Off 。

定时元件RC 取值不同,输出脉冲宽度也不同。

当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的R P和C P。

单稳态触发器为电子秒表提供计数器提供清零信号。

图4.6.1 电子秒表原理图3. 时钟发生器图4.6.1中单元Ⅲ为用555定时器构成的多谐振荡器,是一种性能较好的时钟源。

调节电位器R W,使在输出端3获得频率为50HZ的矩形波信号,当基本RS触发器Q =1时,门5开启,此时50Hz脉冲信号通过门5作为计数脉冲加于计数器(1)的计数输入端CP2。

电子秒表的设计实验报告

电子秒表的设计实验报告

电子秒表的设计实验报告
《电子秒表的设计实验报告》
摘要:本实验旨在设计一款简单易用的电子秒表,通过实验验证其准确性和稳定性。

实验结果表明,所设计的电子秒表具有较高的准确性和稳定性,能够满足实际使用需求。

引言:电子秒表是一种用于测量时间的工具,广泛应用于实验室、体育比赛和工业生产等领域。

设计一款准确可靠的电子秒表对于提高工作效率和数据准确性具有重要意义。

因此,本实验旨在设计一款简单易用的电子秒表,并通过实验验证其性能。

实验方法:首先,我们选取了一款常用的电子元件,包括计时电路、显示屏和按键等。

然后,我们根据设计要求,进行了电路连接和程序编写。

接着,我们对设计的电子秒表进行了一系列的实验,包括准确性测试、稳定性测试和耐用性测试等。

实验结果:经过实验验证,我们设计的电子秒表具有较高的准确性和稳定性。

在准确性测试中,我们对比了设计的电子秒表与标准秒表的计时结果,发现两者基本一致。

在稳定性测试中,我们对设计的电子秒表进行了长时间计时,结果显示其计时稳定性良好。

在耐用性测试中,我们对设计的电子秒表进行了反复按键操作,发现其按键灵敏度和耐用性均符合设计要求。

结论:通过本实验,我们成功设计了一款简单易用的电子秒表,并验证了其准确性和稳定性。

该电子秒表具有较高的性能表现,能够满足实际使用需求。

未来,我们将进一步改进设计,提高电子秒表的功能和性能,以满足更广泛的应用需求。

致谢:感谢实验室的老师和同学们对本实验的支持和帮助,感谢他们的耐心指导和建设性意见。

同时,也感谢所有参与本实验的人员,他们的辛勤劳动为本实验的顺利进行提供了保障。

综合实验(电子秒表)

综合实验(电子秒表)

单片机原理与应用综合实验报告电子秒表的设计专业班级:__ ___________姓名:__ _____________学号:__________时间:____________指导教师:___ _____________2013年 6 月 24 日电子秒表的设计摘要:本设计要做一个电子秒表的设计基本要求:1.能实现从0.00s~99.99s计数的功能2.实现按键归零,按键暂停功能扩展要求3、具体计数数值可以储存在单片机中,并且可以通过按键取出。

1、设计方案1、首先要用有单片机,了解实验的要求。

2、准备好要用到的硬件(这次实验只需要用到我之前所做的开发板,不用外设扩展设备)3、上网查找相关的资料4、开始写程序、调试5、完成验收。

2、设计方框图3、设计原理分析1、通过用单片机实现用7段数码管来实现从0s-99s的计数秒表。

可以通过按键来控制秒表的开始计数,暂停计数,计数归零,调出数据。

2、工作流程按下s0键开始计数、s1键是暂停计数、s2键是清零计数、s3是存储数据按键、s4是调用之前存储的数据,在用s5键调用完最后一个数据之后,再按一下可实现数据复位清零。

4、实验程序#include<reg52.h>#include <intrins.h>#define uint unsigned int#define uchar unsigned charunsigned char temp,qian,bai,shi,ge;unsigned int num=0,num1=0;unsigned char k=0;unsigned int time[3]={0,0,0};unsigned char temp1;void delay(uint z){uint x,y;for(x=z;x>0;x--)for(y=110;y>0;y--);}void init(){TMOD=0x01;TH0=(65536-9715)/256;TL0=(65536-9715)%256;ET0=1;TR0=0;EA=1;}///////////////////////////////键盘扫描/////////////////////////////unsigned char keyscan(){unsigned char scanline[]={0xfe,0xfd,0xfb,0xf7}; //行扫描unsigned char scanrank[]={0x80,0x40,0x20,0x10}; //列扫描的置1位unsigned char temp=0,i=0,j=0;P3=0xf0;if((temp=P3)!=0xf0){delay(5);P3&=0xf0;if((temp=P3)!=0xf0)for(i=0;i<4;i++){P3=scanline[i]; //行扫描for(j=0;j<4;j++)if(!(P3&scanrank[j])) //判断列扫描置1位{while(!(P3&scanrank[j])); //按键释放return (i*4+j); //返回键值}}}else return 16; //无按键}void display(){ unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};P2=0xfe;P0=table[ge];delay(1);P2=0xfd;P0=table[shi];delay(1);P2=0xfb;P0=table[bai]|0x80;delay(1);P2=0xf7;P0=table[qian];delay(1);}void main(){unsigned char buffer=0,i;init();while(1){buffer=keyscan();switch(buffer){case 0:{if(temp1!=1)TR0=1; //启动计时else{TR0=1;ET0=1;EA=1;}break;}case 1:{TR0=0;break; //关闭计时}case 2:{num=0; //归零自动重新计时break;}case 3:{time[k]=num; //k++;if(k==3)k=0;break;}case 4:{TR0=0;EA=0;ET0=0;i=0;while(i<3){while((buffer=keyscan())!=4){num1=time[i];qian=num1/1000;bai=num1%1000/100;shi=num1%100/10;ge=num1%10;display();}i++;}num=0;temp1=1; //标志位break;}}qian=num/1000;bai=num%1000/100;shi=num%100/10;ge=num%10;display();}}void timer0() interrupt 1 //中断程序{TH0=(65536-9715)/256;TL0=(65536-9715)%256;num++;if(num==9999)num=0;}5、实验心得在这次综合实验中,我先是用程序实现了由0s-99.99s的计数功能,由于实验内容颇为简短,所以在之后多加了一个类似于比赛计时器所拥有的多人计数存储功能,在实验开始计数,暂停计数,数据归零,存储数据,提取数据各方面都符合要求。

电子秒表的设计实验报告

电子秒表的设计实验报告

电子秒表的设计实验报告电子秒表的设计实验报告一、引言在现代科技高度发达的社会中,电子秒表作为一种常见的计时工具,被广泛应用于各个领域。

本次实验旨在设计一个简单且实用的电子秒表,通过实际操作和数据分析,探索电子秒表的原理和功能。

二、实验目的1. 了解电子秒表的基本原理和结构;2. 掌握电子秒表的设计方法和实验操作;3. 分析电子秒表的精度和稳定性。

三、实验材料与方法1. 实验材料:电子元件、电路板、电源、计算机等;2. 实验方法:a. 按照电子秒表的设计要求,搭建电路;b. 连接电源,启动电子秒表;c. 进行计时实验,记录数据;d. 分析实验结果。

四、实验步骤1. 设计电路图:根据电子秒表的功能需求,设计电路图,并确保电路的稳定性和可靠性。

2. 搭建电路:根据电路图,将电子元件连接到电路板上,并进行焊接。

3. 连接电源:将电路板与电源连接,确保电子秒表正常工作。

4. 启动电子秒表:按下启动按钮,开始计时。

5. 进行计时实验:使用标准计时器,同时启动电子秒表和标准计时器,进行时间对比。

6. 记录数据:记录电子秒表和标准计时器的计时结果,并计算误差。

7. 分析实验结果:比较电子秒表和标准计时器的计时精度和稳定性,分析实验结果的可靠性。

五、实验结果与分析通过多次实验,记录了电子秒表和标准计时器的计时结果,并计算了误差。

实验结果显示,电子秒表的计时误差较小,精度和稳定性较高,能够满足实际使用的需求。

然而,由于实验条件的限制,电子秒表的计时精度仍有进一步提高的空间。

六、实验总结本次实验成功设计了一个简单实用的电子秒表,并通过实验验证了其计时精度和稳定性。

电子秒表作为一种常见的计时工具,在科学研究、体育竞技等领域具有广泛的应用前景。

然而,电子秒表的设计和制造仍需不断改进,以提高其计时精度和稳定性。

七、改进方向1. 优化电路设计:通过改进电路结构和选用更好的电子元件,提高电子秒表的计时精度和稳定性。

2. 加强测试和校准:定期对电子秒表进行测试和校准,确保其计时结果的准确性。

电子秒表实验报告

电子秒表实验报告

综合性实验——电子秒表
一、实验目的
1、掌握电子系统的启动与停止单元电路的构成
2、掌握时钟信号的产生、计数、译码及显示电路的工作原理及电路组成方法
3、掌握不同类型芯片间接口电路的应用
4、掌握电子系统的调试与性能测试
二、实验仪器
1、双踪示波器、函数信号发生器、直流电源、IC测试仪、万用电表、数字电路实验箱
2、共阴LED、CD4511、555定时器、74LS74、74LS90、74LS00、电阻、电容、电位器
三、设计原理
1、基本RS触发器启动和停止秒表的工作
2、单稳态触发器为计数器提供清零信号
3、555定时器构成多谐振荡器,作为时钟源
4、加法计数器构成电子秒表的技术单元
5、译码显示电路显示出电子秒表的内容
四、实验步骤
1、按图连接电子秒表接线
2、复位电路调试
3、时钟信号电路调试
4、计数电路调试
5、译码及显示电路调试
五、设计过程
弄清此次实验基本目的及所需实验仪器→了解电子秒表基本工作原理→预测此次实验的现象和觉果→画出电路图→实验仿真→误差与故障分析→实验收获与体会
二、电路图和仿真图。

电子秒表课程设计报告

电子秒表课程设计报告

电子秒表1.设计课题任务及指标1.通过本设计的选题、方案论证、设计计算、安装调试、资料整理、撰写“设计报告”等环节, 初步掌握电子工程设计方法和组织实施的基本技能, 深化、扩展并综合运用课堂上所学的电子电路分析设计方法以及集成电路知识完成小系统的电路设计。

2.利用基本脉冲发生器及计数、译码、显示等单元电路设计数字秒表。

3.在实验装置上或者利用仿真软件完成数字秒表的线路连接和调试。

功能要求:基本要求: 计时从1s至99s;有置数、复位功能;能用开关灵活启动和停止秒表。

扩展功能: 有倒计时功能;能计时从0.1s至9.9s。

2.系统设计方案论证所作为数字式秒表, 所以必须有数字显示。

按设计要求, 须用数码管来做显示器。

题目要求最大记数值为99秒, 那则需要两个数码管。

要求计数分辨率为1秒, 那么则需要相应频率的信号发生器。

选择信号发生器时, 有两种方案:一种是用晶体震荡器, 另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。

由于熟悉程度, 本组采用集成电路555定时器与电阻和电容组成的多谐振荡器。

秒表功能中要求有复位功能、能用开关灵活启动和停止秒表, 则控制电路的方案也有两种:方案一, 用74ls08和74ls32及一个3控拨码开关;方案二, 用2个单刀双掷开关及多个单刀开关。

为了能够灵活的控制秒表, 我们选用方案一。

计数部分使用两个74LS192十进制计数器, 这种计数器能够简捷的进行顺逆计时, 为了方便简单译码显示电路采用了74ls48与共阴极七段数码管。

系统框图:图1 流程图4.单元电路设计4.1电源与总控开关图2 电源与总控开关电源由干电池提供;R1为保护电阻;S1为电路总控开关。

4.2脉冲发生器(由555构成的多些振荡器)图3 脉冲发生电路图图4 NE555管脚图由于频率f=1.43/(R1+2R2)C=1Hz, (1)产生1Hz频率,所以, 电容C1=0.33u, 电阻R2=100KΩ, R3=2.2MΩ。

电子秒表课程设计报告

电子秒表课程设计报告

课程设计(综合实验)报告2011—2012年度第一学期名称:数字电路实验题目:电子秒表设计院系:电气与电子工程学院班级:通信XXXX班学号:XXXXX学生姓名:XX指导老师:XX设计周数:一周成绩:日期:2012年1月2日一、实验目的1.学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数器、译码器显示等单元电路的综合应用。

2.熟悉555定时器的使用以及相关电路的设计,巩固RS触发器的功能以及特性,熟悉RS触发器的使用和设计。

3.学习电子秒表的调试方法。

二、实验要求1.利用555定时器制作一个频率为50HZ的时钟发生装置。

2.通过二五十进制计数器制作时钟分频电路,输出0.1秒到9.9秒的计数脉冲。

3.通过分频电路,输出周期为0.1s的计数脉冲。

4.利用74LS248和数码显示器接受分频电路输出的计数脉冲,并显示出来。

5.使用基本RS触发器制作电子秒表的控制开关,实现开始计数,停止并保持计数和清零重新开始计数的功能。

三、总体方案设计1.整体设计布局框图如下图所示。

整体设计布局框图2.模块总体方案设计1)555时钟发生模块设计。

利用555定时器实现多谐振荡电路能够完成时钟信号发生器的功能,通过调节电路中电阻电容值使多谐振荡器的输出信号频率为50Hz。

为设计方便,取R1=100ΩK,R2=100ΩK可调电阻,C=0.1uF 构成一个能产生周期为0.02s(频率为50Hz)的脉冲的多谐振荡器。

2)分频电路模块。

利用74LS290将输入为50Hz频率的时钟脉冲进行5分频变为10Hz的信号输出,即周期为0.1s。

将555定时器的输出端接入一个74LS290CP,正确连接电路,五进制端最高位输出和五进制端的时钟输入端2RS触发器的输出Q的与非控制输出即所需脉冲。

3)输出及显示模块利用3个74LS160分别连接成十进制计数器,并通过三个数码显示器显示0.1——99.9s的秒表数值。

其中将分频电路输出的所需脉冲接入第一个芯片的时钟输入端,并将第一个芯片的四个对应输出接入相应的数码显示器的相应端,构成秒表的小数部分。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

淮阴工学院
《数字电子技术》课程实验期末考核
2014-2015学年第2学期实验名称:电子秒表电路的设计
班级:
学号:
姓名:
学院:电子与电气工程学院
专业:自动化
系别:自动化
指导教师:《数字电子技术》实验指导教师组成绩:
2015年07月
电子秒表电路的设计
一、实验目的
1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。

2 .学习电子秒表的调试方法。

二、实验原理
图11 -1 为电子秒表的电原理图。

按功能分成四个单元电路进行分析。

1.基本RS 触发器
图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。

属低电平直接触发的触发器,有直接置位、复位的功能。

它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。

按动按钮开关K
2(接地),则门1 输出=1 ;门2 输出Q =0 ,K
2
复位
后Q 、状态保持不变。

再按动按钮开关K
1
, 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。

由1 变0 ,送出负脉冲,启动单稳态触发器工作。

基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。

2. 时钟发生器
图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的
时钟源。

调节电位器 R
W
,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于
计数器①的计数输入端CP
2。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列
3.计数及译码显示
二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。

其中计数器①接成五进制形式,对频率为50HZ 的时钟
取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q
D
时钟输入。

计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。

注:集成异步计数器74LS90
74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

图11 -3 为74LS90 引脚排列,表11 -1 为功能表。

通过不同的连接方式,74LS90 可以实现四种不同的逻辑功能;而且还可借
助R
0 (1) 、R
(2) 对计数器清零,借助S
9
(1) 、S
9
(2) 将计数器置9 。

其具
体功能详述如下:
(1)计数脉冲从CP
1输入,Q
A
作为输出端,为二进制计数器。

(2)计数脉冲从CP
2输入,Q
D
Q
C
Q
B
作为输出端,为异步五进制加法计数器。

(3)若将CP
2和Q
A
相连,计数脉冲由CP
1
输入,Q
D
、Q
C
、Q
B
、Q
A
作为输出端,
则构成异步8421 码十进制加法计数器。

(4)若将CP
1与Q
D
相连,计数脉冲由CP
2
输入, Q
A
、Q
D
、Q
C
、Q
B
作为输
出端,则构成异步5421 码十进制加法计数器。

(5)清零、置9 功能。

a)异步清零
当R
0 (1) 、R
(2) 均为“1 ”;S
9
(1) 、S
9
(2) 中有“0 ”时,实现异
步清零功能,即Q
D Q
C
Q
B
Q
A
=0000 。

b)置9 功能
当S
9 (1) 、S
9
(2) 均为“1 ”;R
(1) 、R
(2) 中有“0 ”时,实现置9
功能,即Q
D Q
C
Q
B
Q
A
=1001 。

表11-1
三、实验仪器
1 .+5V 直流电源
2 .双踪示波器
3 .直流数字电压表
4 .数字频率计
5 .单次脉冲源
6 .连续脉冲源
7 .逻辑电平开关 8 .逻辑电平显示器
9 .译码显示器 10.74LS00 ×2、555 ×1、74LS90 ×3
11.电位器、电阻、电容若干
四、实验内容
由于实验电路中使用器件较多,实验前必须合理安排各器件在实验装置上的位置,使电路逻辑清楚,接线较短。

实验时,应按照实验任务的次序,将各单元电路逐个进行接线和调试,即分别测试基本RS 触发器、时钟发生器及计数器的逻辑功能,待各单元电路工作正常后,再将有关电路逐级连接起来进行测试……,直到测试电子秒表整个电路的功能。

这样的测试方法有利于检查和排除故障,保证实验顺利进行。

1.基本RS 触发器的测试
将图1 的两个输出端接逻辑电平显示,按动按钮开关K
2
(接地),记下Q
和的值,按动按钮开关K
1
, Q 和的值。

2.时钟发生器的测试
用示波器观察输出电压波形并测量其频率,调节R
W
,使输出矩形波频率为50Hz 。

3.计数器的测试
3.1 计数器①接成五进制形式,R
O (1) 、R
O
(2) 、S
9
(1) 、S
9
(2) 接逻辑
开关输出插口,CP
2接单次脉冲源,CP
1
接高电平“1 ”,Q
D
~Q
A
接实验设备上
译码显示输入端D 、C 、B 、A, 按表11 -1 测试其逻辑功能,记录之。

3.2 计数器②及计数器③接成8421 码十进制形式,同内容(1 )进行逻辑功能测试。

记录之。

3.3 将计数器①、②、③级连,进行逻辑功能测试。

记录之。

4.电子秒表的整体测试
各单元电路测试正常后,按图11 -1 把几个单元电路连接起来,进行电子秒表的总体测试。

先按一下按钮开关K
2,此时电子秒表不工作,再按一下按钮开关K
1
,则计
数器清零后便开始计时,观察数码管显示计数情况是否正常,如不需要计时或暂停计时,按一下开关K
2
,计时立即停止,但数码管保留所计时之值。

5.电子秒表准确度的测试
利用电子钟或手表的秒计时对电子秒表进行校准。

五、实验调试
在电路调试过程中,刚开始由于555构成的多谐振荡器出现了一定的问题,脉冲信号不能成功输出,显示器停留在0不显示,最后下调整了555定时器的参数,使秒表正常运行。

在整个电路调试过程中可以发现,理论计算出的值在实际运行中还是误差很大,需要在实际调试中试出最恰当的电阻和电容值。

接线和调试时,应将各单元电路逐个进行接线和调试,即分别测试基本RS 触器、单稳态触发器、时钟发生器及计数器、译码显示电路等逻辑功能,待各单元电路工作正常后,再将有关电路逐级连接起来进行测试,直到测试电子秒表整个电路的功能。

这样的模块化测试方法有利于检查和排除故障,是调试电路的常用方法。

六、实验总结
通过本次课程设计,我了解数字秒表的主体电路组成及工作原理,熟悉了集成电路及有关电子元器件的使用,学习和掌握数字电路中基本RS触发器、计数、译码显示等单元电路的综合应用。

首先是74LS90的功能,懂得实际应用上,应用串行和并行方式进行连接电路实现计数器的级联电路,进一步可以利用多片芯片设计各进制的计数电路。

通过设计重启和停止,启动计数键,我更好的理解了74LS90各引脚的功能和用法。

在利用555产生时钟信号时,我也学会了用这个芯片输出不同的频率的信号。

通过这次实验我对数字电子技术有了更进一步的熟悉,加深了我对理论知识的理解,增强了个人的动手能力,巩固了所学知识,也使我们把理论与实践从真正意义上结合起来。

相关文档
最新文档