单片机实验6 定时器控制循环彩灯实验
定时器实验(循环彩灯)

目录
• 实验目的 • 实验材料 • 实验步骤 • 实验总结
01
CATALOGUE
实验目的
理解定时器的工作原理
定时器是一种用于产生精确时间间隔 的电子设备,通常用于控制时间、产 生脉冲信号或测量时间间隔。
在本实验中,我们将使用微控制器中 的定时器模块,了解其工作原理和配 置方法。
04
04
CATALOGUE
实验总结
分析实验过程中遇到的问题及解决方法
问题1
LED灯无法正常闪烁。
01
解决方法1
02 检查连接线路是否正确,确保
电源和信号线都已正确连接。
问题2
03 定时器设置不准确,导致LED
灯闪烁频率不稳定。
解决方法2
04 调整定时器的设置参数,确保
定时器能够准确控制LED灯的 闪烁频率。
在使用LED灯时,要注意LED灯的工作电 压和电流,避免过载或烧毁。
注意事项
确保定时器的设置参数合理,避免出现 闪烁频率不稳定或颜色偏差等问题。
思考如何将本实验应用于实际项目或产品中
01
可以将本实验中的定时器和 LED灯控制技术应用于家庭装 饰灯光、舞台灯光、广告牌灯 光等领域。
02
通过调整定时器的设置参数和 LED灯的颜色、模式等,可以 实现多样化的灯光效果,增强 视觉效果和氛围。
问题3
LED灯出现颜色偏差。
05
解决方法3
06 检查LED灯的型号和颜色是否
一致,确保所有LED灯的颜色 都符合要求。
总结定时器在LED灯控制中的应用和注意事项
应用:定时器可以用于控制LED灯的闪 烁频率、颜色和模式,实现多样化的灯 光效果。
实验、中断控制的8个LED灯轮流点亮电路

实验八、中断控制的8个LED灯轮流点亮电路专业: 班级:姓名: 学号:成绩:一、实验目的1.掌握中断程序的分析方法和设计方法。
2.学习电平触发方式及负脉冲触发方式的电路设计方法。
3.学习读程序,并能写出中断初始化程序段。
二、实验器材单片机开发设备一套。
三、实验内容和步骤试设计一个用单片机中断控制的8个LED灯轮流点亮的仿真控制系统,当按下一个按键之后,LED1灯亮,再按下一个按键后,彩灯顺次点亮一个。
要求按照电平触发和负脉冲触发两种方式来设计电路并进行程序设计。
电平触发方式下的仿真图:附加题:请设计一个电路,能实现开关控制的数码管显示0-9数字。
电平触发方式下的程序:ORG 0000HAJMP MAINORG 0013HAJMP SERVERORG 0030HMAIN:MOV A,#01HCLR IT1SETB EX1SETB EA SJMP $ORG 0200H SERVER:CLR P3.0 NOPNOPSETB P3.0MOV P1,ARL ARETIEND负脉冲触发方式下接于P3.3端口的仿真图:负脉冲触发方式下的程序:ORG 0000HAJMP MAINORG 0013HAJMP SERVERORG 0030HMAIN:MOV A,#11111110B MOV P1,A SETB IT1SETB EX1SETB EASJMP $ORG 0200HSERVER:CLR P3.3NOPNOPSETB P3.3MOV P1,ARL ARETIEND。
循环彩灯控制系统实验报告

循环彩灯控制系统实验报告一、设计要求1、程序中由3个按钮控制每按下一个按钮都会出现不同的彩灯循环现象二、硬件电路设计1、单片机最小系统(2)发光二极管的电路三、软件设计(一)1、在Keil uVision4.LNK上写好程序后通过STC_ISP_V480.exe.lnk和USB口输入到reg52.h单片机中按下开关蓝色指示灯亮,然后按下P3^7按钮发光二极管从左往右依次点亮;2、①在不复位的情况下按下P3^6时发光二极管可以做往返运动依次点亮②在复位时按下P3^6发光二极管是从右往左依次点亮的;3、①在不复位的情况下按下P3^5时发光二极管可以在从左往右或者从右往左点亮后1,3,5,7与2,4,6,8交替闪烁(在看先点亮P3^6还是P3^5 来实现从左还是从右开始循环)②在复位时按下P3^5发光二极管是作1,3,5,7与2,4,6,8交替闪烁。
(二)说明(“0”“1”“2”“3”“4”“5”“6”“7”按键P3^7 0X7F 0XBF 0XDF 0XEF 0XF7 0XFB 0XFD 0XFE 复位P3^6 0XFE 0XFD 0XFB 0XF7 0XEF 0XDF 0XBF 0X7F 复位P3^5 0X7F/0XFF 0XFF/0XBF0XDF/0XFF0XFF/0XEF0XF7/0XFF0XFF/0XFB0XFD/0XFF0XFF/0XFE复位8421码10=A 11=B 12=C 13=D 14=E 15=F四、系统测试步骤1:新建工程→New Project→选择单片机型号→Atmel→AT89C52取名→保存2:新建文档→New file→取名→保存(—3个按键控制两种LED现象.C)3:点右键→Add file to group→选择(—3个按键控制两种LED现象.C)4:点工程右键→options “output”(√)create hex file5产出hex档→编译按钮(↓)(↓↓)(↓↓↓)STC下载软件1:选择单片机型号“STC89C52RC”2:打开文件→(流水灯.hex)3:选择COM口(裝置管理員)4:点下载按鈕→开启电源按钮→“OK 已加密”附录源程序清单#include <reg52.h>#define uint unsigned int#define uchar unsigned charsbit key1 =P3^7; //第一个按键sbit key2 =P3^6; //第二歌按键sbit key3 =P3^5; //第三个按键uchar A1=0,A2=0,A3=0;void delay(uint z){uint i,j;for(i=0;i<z;i++)for(j=0;j<110;j++);}void O_to_E() //1,3,5,7与2,4,6,8交替闪烁{P0=0Xaa;delay(200);P0=~0Xaa;delay(200);}{if(!key1){delay(10); //延时if(!key1)A1=1;}if(!key2){delay(10); //延时if(!key2)A2=1;}if(!key3){delay(10); //延时if(!key3)A3=1;}}void main(){uchar i;while(1){if(A1){for(i=0;i<8;i++) //从左往右亮,每盏灯亮一次{P0=~(0x7f>>i);delay(100);}}if(A2){for(i=0;i<8;i++) //从右往左亮,每盏灯亮一次{P0=~(0xfe<<i);delay(100);}}if(A3){O_to_E(); //1,3,5,7与2,4,6,8交替闪烁}}}附加图片(因为手机问题从左往右跟从右往左还有左右往返的看不出来所以就拍了2张图片)1、从左往右、从右往左、往返2、//1,3,5,7与2,4,6,8交替闪烁超。
实验六 循环彩灯控制器的设计

实验六循环彩灯控制器的设计一、设计目的1、学习用状态机设计特色电路;2、牢固掌握用VHDL语言编写状态机程序的方法和技巧。
二、设计要求1、编写循环彩灯控制器的VHDL源程序;2、在MAX+PLUSII上进行编译、综合、适配、引脚锁定、下载测试;3、在MAX+PLUSII上进行波形仿真的测试;4、写出设计性实验报告。
三、设计提示1、设计一种楼梯照明控制器,该控制器控制红、绿、黄三个发光管循环发光,要求红灯亮2秒,绿灯亮3秒,黄灯亮1秒。
2、引脚锁定及下载测试提示:如果目标器件是EPF10K10,自行锁定引脚。
3、设计的VHDL程序所用时钟频率为1HZ。
四、实验报告要求根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;设计原程序,程序分析报告、仿真波形图及其项目分析。
程序清单:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CAIDENG ISPORT(CLK,RST:IN STD_LOGIC;R,G,Y:OUT STD_LOGIC);END CAIDENG;ARCHITECTURE one OF CAIDENG ISTYPE STATE_TYPE IS(S0,S1,S2,S3,S4,S5);SIGNAL STATE:STATE_TYPE;BEGINPROCESS(CLK,RST)BEGINIF RST='1'THEN STATE<=S0;ELSIF CLK'EVENT AND CLK='1' THEN CASE STATE ISWHEN S0=>R<='1';G<='0';Y<='0';STATE<=S1;WHEN S1=>R<='1';G<='0';Y<='0';STATE<=S2;WHEN S2=>R<='0';G<='0';Y<='1';STATE<=S3;WHEN S3=>R<='0';G<='1';Y<='0';STATE<=S4;WHEN S4=>R<='0';G<='1';Y<='0';STATE<=S5;WHEN S5=>R<='0';G<='1';Y<='0';STATE<=S0;END CASE;END IF;END PROCESS;END one;实验结果:。
定时器控制led灯闪烁实验报告

定时器控制led灯闪烁实验报告实验目的:掌握使用定时器控制LED灯闪烁的方法,了解定时器的工作原理以及其在嵌入式系统中的应用。
实验材料:1. MCU开发板2. LED灯3. 面包板4. 连接线5. 电源实验步骤:1. 连接电路:将LED的正极连接至MCU开发板的GPIO口,将LED的负极连接至地线。
2. 编写程序:使用适当的程序开发工具,编写程序并上传至MCU开发板。
程序中应包括以下内容:- 初始化定时器:设置定时器的工作模式、计数器的初始值和计数器的预设值。
- 打开定时器中断:使能定时器中断,并设置中断优先级。
- 配置GPIO口:将使用的GPIO口配置为输出模式。
- 进入主循环:在主循环中不断检测定时器中断标志位,若中断发生,则将GPIO口状态翻转,从而控制LED的闪烁。
3. 连接电源:将MCU开发板连接至电源,确保系统正常运行。
4. 运行实验:观察LED灯是否按照预期进行闪烁,如果有问题,可检查代码和电路连接是否正确,并进行调试。
实验结果与分析:根据实验步骤进行实验后,LED灯应该按照预期进行闪烁。
定时器的中断周期决定了LED的闪烁频率,可以通过调整定时器的计数器值来改变LED闪烁的频率。
通过这个实验,我们可以掌握使用定时器控制LED灯闪烁的方法,并了解了定时器在嵌入式系统中的应用。
实验拓展:1. 实现呼吸灯效果:通过调整定时器的计数器值和PWM功能,使得LED灯的亮度逐渐增加然后逐渐减小,形成呼吸灯效果。
2. 多LED控制:使用多个GPIO口和定时器,控制多个LED灯的闪烁效果,可以实现不同频率、不同亮度的LED灯组合效果。
3. 控制其他外设:除了LED灯,定时器还可以用来控制其他外设,比如蜂鸣器、电机等,可以进行相应的实验拓展。
单片机led循环点亮的实验总结

单片机led循环点亮的实验总结下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。
文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!本店铺为大家提供各种类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you! In addition, this shop provides you with various types of practical materials, such as educational essays, diary appreciation, sentence excerpts, ancient poems, classic articles, topic composition, work summary, word parsing, copy excerpts, other materials and so on, want to know different data formats and writing methods, please pay attention!单片机LED循环点亮的实验总结在学习单片机编程过程中,LED循环点亮是一个经典的实验,有助于理解单片机IO口控制以及简单的循环结构。
制作循环彩灯实习报告

制作循环彩灯实习报告制作循环彩灯实习报告制作循环彩灯实习报告循环彩灯摘要:设计一个循环彩灯控制电路,该电路实现了让八个彩灯循环闪烁的功能,并且循环闪烁的时间为1秒。
其中,闪烁时间由555定时器这样的时钟电路产生周期为1秒的脉冲所控制;彩灯的循环闪烁由十进制计数器接受定时器产生的脉冲所控制;彩灯显示功能由三线八线译码器实现,输出分配数据直接控制灯的闪烁。
用Multisim 10对设计电路进行仿真可得到八个发光二极管循环闪烁的结果。
关键词:循环彩灯;555定时器;计数器;译码器;发光二极管目录1.设计背景……………………………………………………………………21.1了解数字电路系统的定义及组成………………………………………21.2掌握时钟电路的作用及基本构成…………………………………………22.设计方案……………………………………………………………………22.1任务分析……………………………………………………………………22.2方案论证……………………………………………………………………33.方案实施…………………………………………………………………33.1原理图设计…………………………………………………………………33.2电路仿真……………………………………………………………………73.3PCB制作...........................................................................84.结果与结论...........................................................................85.收获与致谢........................................................................96.参考文献..............................................................................97.附件 (97).1电路原理图………………………………………………………………107.2 PCB布线图………………………………………………………………117.3元器件清单……………………………………………………………111. 设计背景1.1 了解数字电路系统的定义及组成用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。
定时器控制led灯闪烁实验报告

定时器控制led灯闪烁实验报告实验目的:学习使用定时器控制LED灯闪烁。
实验器材:Arduino UNO开发板、面包板、杜邦线、1个LED灯、220Ω电阻器。
实验原理:在Arduino开发板中,有三个可以设置的定时器,分别是Timer0、Timer1和Timer2。
定时器的作用就是在指定时间间隔内进行一定操作。
在本实验中,我们使用Timer0来控制LED灯的闪烁。
实验步骤:1. 连接电路。
将LED灯通过220Ω电阻器与Arduino开发板的数字口Pin13相连。
2. 编写程序。
下面是本实验的程序代码:int ledPin=13;void setup() {pinMode(ledPin, OUTPUT);//使用Timer0控制TCCR0B |= (1<<CS02) | (1<<CS00); //设置预扫频率为1024 }void loop() {static boolean output = LOW;static unsigned long previousMillis = 0;unsigned long currentMillis = millis();if (currentMillis - previousMillis >= 1000) { //闪烁周期为1spreviousMillis = currentMillis;if (output == LOW)output = HIGH;elseoutput = LOW;digitalWrite(ledPin, output);}}3. 上传程序。
将编写好的程序上传至Arduino UNO开发板。
4. 实验结果。
当我们打开串口监视器时,LED灯会每隔1秒钟闪烁一次。
实验结论:通过使用定时器控制LED灯的闪烁,我们学习到了如何使用Arduino开发板的Timer0功能,掌握了定时器的使用方法,进一步加深了对Arduino的理解。
定时器控制led灯闪烁实验报告

定时器控制LED灯闪烁实验报告引言本实验旨在通过使用定时器控制LED灯的闪烁,演示定时器在嵌入式系统中的应用。
通过本实验,我们可以深入了解定时器的工作原理以及如何使用它来实现各种定时功能。
实验器材•STM32F407开发板•杜邦线•LED灯•电阻实验步骤步骤一:准备工作1.将STM32F407开发板与计算机通过USB线连接。
2.在计算机上安装Keil软件,并打开工程文件。
3.将LED灯连接到开发板的GPIO引脚。
4.在Keil软件中配置GPIO引脚为输出模式。
步骤二:编写程序1.在程序的头文件中引入相应的库文件。
2.在代码中定义LED灯所连接的GPIO引脚。
3.初始化LED灯所连接的GPIO引脚,并设置为输出模式。
4.配置定时器的工作模式和频率。
5.启动定时器。
6.在无限循环中读取定时器的计数器值,并通过判断计数器值的大小来控制LED灯的亮灭状态。
步骤三:烧录程序1.将开发板与计算机通过USB线连接。
2.打开Keil软件,点击烧录按钮,将程序烧录到开发板中。
步骤四:实验验证1.确保程序已成功烧录到开发板中。
2.接通开发板的电源,观察LED灯的闪烁状态。
结果与分析经过实验验证,LED灯按照预定的频率闪烁,证明定时器工作正常。
通过调整定时器的频率,可以控制LED灯的闪烁速度,进一步验证了定时器的功能。
实验总结通过本实验,我们深入了解了定时器的工作原理,并成功实现了定时器控制LED灯的闪烁功能。
定时器在嵌入式系统中具有广泛的应用,可以用于定时中断、测量时间等功能。
在今后的学习和应用中,我们可以灵活运用定时器,提高嵌入式系统的稳定性和性能。
参考文献暂无参考文献。
附录无序列表:•STM32F407开发板•USB线•LED灯•电阻有序列表:1.准备工作1.将STM32F407开发板与计算机通过USB线连接。
2.在计算机上安装Keil软件,并打开工程文件。
3.将LED灯连接到开发板的GPIO引脚。
4.在Keil软件中配置GPIO引脚为输出模式。
实验六-循环彩灯

实验六8路彩灯控制实验实验报告实验6 彩灯控制实验1.设计8路彩灯控制电路,并用MAXPLUS 进行仿真,将结果下载到实验箱中,测试电路的正确性。
要求:设计一个8路彩灯控制电路,实现8位LED 每隔1秒全亮、全灭、逐个点亮,延时间隔可由外部增和减按键进行调节,同时将延时间隔显示在数码管上。
注:其中彩灯的样式可以自己进行定义 注意:外部时钟信号由实验箱的信号源提供,需要设计相应的分频电路进行分频,以获得1Hz 的时钟信号2.应包含VHDL 源程序,详细的设计报告,对程序,仿真结果,实验箱运行结果(图片贴到报告中)进行详尽的分析一、实验分析1、彩灯动作:逐个点亮(自左向右)——至全亮——全灭——全亮——全灭——逐个点亮,时间间隔1S ,可通过按键进行调节。
2、本实验由四个模块组成:四频率输出分频器,四选一频率选择器,彩灯控制器和数字频率计。
四选一频率选择器从分频器中选择不同频率的时钟信号输送到彩灯控制器,从而达到控制彩灯闪烁速度的快慢的变换。
控制过程如下图:1)rst 为低电平复位信号,为低电平时,8盏灯保持全灭的状态;输入信号为一个时钟信号,经过分频器分频之后产生频率不同的四个时钟信号。
2)频率选择器可以通过按键输入高电平脉冲来实现四种频率的循环选择。
3)彩灯控制模块实现多彩灯花样循环的控制,时间间隔有频率选择器的输出频率决定。
灯1 灯2 灯3 灯4 灯5 灯6 灯7 灯8 频率选择器 彩灯控制 高电平脉冲 分频器 时钟信号 数字频率计二、VHDL源程序1、四频率输出分频器根据要求有4种速度的变化,而只有一个输入的时钟信号,所以要对输入的时钟信号进行分频,本次设计采用了二分频、四分频、八分频和16分频得到4种频率信号。
二分频VHDL代码如下。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fen2 ISPORT(clk,rst: IN std_logic;clk1:OUT std_logic);END fen2;ARCHITECTURE behav OF fen2 ISBEGINPROCESS(clk,rst)VARIABLE q1:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF rst='0'THENq1:=(OTHERS=>'0');ELSIF clk'event AND clk='1'THENIF q1<"0001" THEN q1:=q1+1;ELSE q1:=(OTHERS=>'0');END IF;END IF;IF q1="0001" THEN clk1<='1';ELSE clk1<='0';END IF;END PROCESS;END behav;四频率输出分频器如下图:模块元件符号如下图:时序仿真图:2、四选一频率选择器四选一频率选择器控的功能是从分频器中选择不同的时钟信号送给彩灯控制器,实现彩灯闪烁频率的变化,VHDL代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sixuanyi isport(f_choose,rst,clk1,clk2,clk3,clk4:in std_logic;z:out std_logic);end sixuanyi;architecture behave of sixuanyi issignal s:std_logic_vector( 1 downto 0);beginone:process(f_choose)beginif rst='0' then s<="00";elsif f_choose'event and f_choose='1'thens<=s+1;end if;end process one;two:process(s,clk1,clk2,clk3,clk4)begincase s iswhen"00"=>z<=clk1;when"01"=>z<=clk2;when"10"=>z<=clk3;when"11"=>z<=clk4;when others =>z<=null;end case;end process two;end behave;模块元件符号如下图:f_choose为频率选择端,每输入一次高脉冲,频率便改变一次,可以通过按键输入高脉冲来实现四种频率的循环选择。
循环彩灯实验报告

循环彩灯实验报告循环彩灯实验报告引言:灯光在我们生活中扮演着重要的角色,无论是室内照明还是舞台表演,灯光的运用都能够给人们带来不同的感受和体验。
在这个实验中,我们将探索循环彩灯的原理和制作方法,以及其在不同场景中的应用。
一、实验目的本实验的目的是通过制作循环彩灯来理解电路的原理,并了解灯光的运作机制。
同时,通过实践操作,培养我们的动手能力和创造力。
二、实验材料1. 彩灯:红、绿、蓝三种颜色的LED灯各3个2. 电阻:100欧姆、220欧姆、330欧姆各1个3. 电容:100μF、220μF、330μF各1个4. 电池:9V电池1个5. 面包板:1块6. 连接线:若干根三、实验步骤1. 将面包板连接到电池的正负极上,确保电路能够正常通电。
2. 将三种颜色的LED灯分别插入面包板上的不同位置,注意连接的极性。
3. 在每个LED灯的正极和负极之间分别插入不同电阻和电容,形成不同的电路。
4. 打开电池,观察LED灯的亮灭情况和颜色变化。
四、实验结果与分析通过实验观察,我们可以发现以下现象:1. 当电路中只有一个LED灯时,灯光亮起来,并且颜色与LED本身的颜色一致。
2. 当电路中加入电阻时,LED灯的亮度会有所变化,电阻越大,亮度越低。
3. 当电路中加入电容时,LED灯的亮度会有所变化,电容越大,亮度越高。
这些现象的发生可以通过以下原理解释:1. LED灯是一种半导体材料,当通过正向电流时,电子和空穴在半导体材料内复合,释放出能量,从而发出光线。
2. 电阻的加入会影响电流的流动,使得通过LED灯的电流减小,从而导致亮度的下降。
3. 电容的加入会使得电路中的电荷储存和释放更加平滑,从而使得LED灯的亮度更加稳定。
五、实验应用循环彩灯的制作不仅仅是为了理解电路的原理,还可以应用于各种场景中,例如:1. 舞台表演:通过控制循环彩灯的亮灭和颜色变化,可以创造出各种炫目的灯光效果,增加表演的视觉冲击力。
2. 节日庆典:在节日庆典活动中,循环彩灯可以装饰场地,营造出欢乐喜庆的氛围。
循环彩灯控制实验报告

中国计量学院电工电子实验中心电子版实验报告
实验课程:电路电子实验实验日期: 2009-10-14 实验项目:循环彩灯控制
班级: 07电气2班学号: 0700103212 姓名:
一、实验目的
1.学习计数器、译码器、发光二极管及相关芯片的使用方法。
2.掌握计数器、译码器、发光二极管及相关芯片的综合应用。
3.掌握用示波器测试计数器输出波形的方法。
二、实验任务
用74LS138、74LS161、74LS00、发光二极管等芯片实现一个彩灯的
循环控制。
三、实验仪器(设备名称与型号)
各色发光二极管、74LS161计数器、74LS138、74LS00、等芯片,导
线,电阻信号发生器,示波器,数字万用表等。
四、实验方案(包括简要原理及设计电路图等)
步骤:1.用万用表二极管挡、电阻2K挡或将导线连接+5V电源与输
出发光二极管等方法检查导线导通情况,当万用表发出蜂鸣声、阻值
示数约为0或发光二极管亮时,均表示导线导通。
2,根据电路原理图连接电路。
1、电路原理图
电路图(1)
电路图(2)
七、实验结论
八、实验思考题。
循环彩灯实验设计论文设计

循环彩灯实验设计(电子信息工程专业电信09(1)班,***)摘要:节日彩灯使生活中常常用到的装饰物品。
它集中地运用了单片机、LED 自动控制等技术,是典型的基于单片机的电子产品。
本文以8031单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现彩灯在开启时满足不一样的闪亮方法。
按键可以在彩灯使用的时候选择不同的亮法,使彩灯变化多样.关键字:EL-5051-III型单片机试验箱8031; 节日彩灯;循环.目录1绪论 (3)1.1引言 (3)1.1.1论文内容及目标 (3)1.1.2拟采用方法 (3)2.1硬件电路设计 (4)2.1.18031单片机硬件结构 (4)2.1.2电路设计 (4)2.1.3管脚说明 (5)2.1.4 振荡器特性 (7)2.1.5 芯片擦除 (7)2.1.6 定时/计数器的工作方式1 (7)2.1.7 8031最小系统 (8)2.2 电路设计 (8)3.1软件设计 (9)3.1.1 程序设计框图 (10)3.1.2 系统程序 (11)4 设计结果分析 (12)5 结束语 (12)6参考文献 (12)1.1 引言节日彩灯使生活中常常用到的装饰物品。
它集中地运用了单片机、LED,自动控制等技术,是典型的基于单片机的电子产品。
随着计算机、微电子、信息技术的快速进步,智能化技术的开发速度越来越快 ,智能度越来越高 ,应用范围也得到了极大的扩展。
在海洋开发、宇宙探测、工农业生产、军事、社会服务、娱乐等各个领域。
在娱乐方面,场地的装饰离不开彩灯。
在建筑方面也采用彩灯来装饰高楼大厦。
彩灯又灵活多变的点亮方式,装饰效果非常好,特别时晚上使得高楼大厦更加漂亮。
是彩灯的应用才使得城市的夜景非常迷人。
在国内外,微控制系统主要采用单片机作为控制核心。
因此,单片机的发展将有助于简单实用电子产品的开发。
在本设计中,采用比较先进的8031单片机为控制核心,它的功耗很低。
单片机技术发展至今,掌握最先进技术的仍然是国外的几大公司。
单片机课程设计_定时器控制4只LED滚动闪烁_报告正文教材

目录1 设计目的 (1)1.1设计目的 (1)1.2设计内容和要求 (1)1.3设计思路 (1)2 软硬件开发平台 (2)2.1A LTIUM D ESINGER硬件电路开发平台 (2)2.2K EIL U V ISION2程序开发平台 (3)2.3P ROTEUS仿真软件 (3)3 设计原理分析 (5)3.1定时器控制4只LED滚动闪烁系统设计 (5)3.2定时器控制4只LED滚动闪烁系统的功能要求 (5)3.2.1计时显示 (5)3.2.2中断设置 (5)3.3定时器控制4只LED滚动闪烁制系统的基本构成及原理 (5)4 系统硬件电路的设计 (7)4.1系统硬件总电路构成及原理 (7)4.2主控制部分――AT89C51单片机简介 (7)4.2.1 AT89C51的内部结构功能 (8)4.2.2 51单片机的串行接口工作方式 (9)4.3其它器件 (10)4.4定时器控制4只LED滚动闪烁控制系统原理图 (10)4.5设计的连线图: (11)4.5.1单片机实物图: (11)4.6硬件资源及其分配 (11)4.7运行步骤 (12)4.8检测与调试 (12)4.8.1硬件调试: (12)4.8.2软件调试: (13)5 系统软件程序的简单设计 (14)5.1程序框图 (14)5.2程序流程图及程序 (15)5.2.1程序流程图: (15)5.2.2程序清单: (15)5.2.3仿真结果图: (17)结论 (18)参考文献 (19)i1 设计目的1.1设计目的1、通过单片机课程设计,熟练掌握C语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力。
2、通过定时器控制4只LED滚动闪烁系统的设计,掌握定时/计数器的使用方法,和简单程序的编写,最终提高我们的逻辑抽象能力。
1.2设计内容和要求内容:设计一个能够控制4盏LED灯的模拟系统。
要求:利用单片机的定时器定时,令4盏LED灯交替点亮和熄灭。
单片机彩灯实验

实验名称华中科技大学彩灯控制实验指导教师曹丹华专业班级光实1201 姓名学号一、任务要求实验内容:利用C8051F310单片机设计一个彩灯控制器主要功能和技术指标要求:1. 控制LED七段数码管按照特定方式闪烁。
2. 闪烁模式不少于4种。
3. 利用键盘控制彩灯闪烁模式切换。
提高要求:使用按键(KINT)控制LED灯闪烁频率,闪烁频率多级可调。
二、设计思路功能实现:不按键时显示器最开始显示“love”,从左至右,每隔0.2s闪烁一个字母,响完一个周期,响一次蜂鸣器,继续循环。
按下Kint键,频率变慢,连续按频率更慢,变为之前的一半,分为四个档,直到变为最开始的1/8后,继续按变回最开始的频率。
按键K0,K1,K2,K3时,显示屏上的图形变为从右至左的再从左至右的“L”,每个“L”间隔为0.2S,响完一轮响一次蜂鸣器,频率同样可以按KINT键调节。
按键K4,K5,K6,K7时,显示屏上的图形变为从右至左的“O”,每个“O”间隔为0.2S,响完一轮响一次蜂鸣器,频率同样可以按KINT键调节。
按键K8,K9,K10,K11时,显示屏上的图形变为从右至左的“V”,每个“V”间隔为0.2S,响完一轮响一次蜂鸣器,频率同样可以按KINT键调节。
按键K12,K13,K14,K15时,显示屏上的图形变为从右至左的“E”,每个“E”间隔为0.2S,响完一轮响一次蜂鸣器,频率同样可以按KINT键调节。
设计思路:先进行初始化设置,利用Config2软件生成初始代码,关闭看门狗,设置P0,P1,P2端口的输入输出形态,控制P0.6,P0.7与P1,来得到想要的图形,并利用延时控制好视觉效果,最重要的是在延时程序中插入扫描键盘的程序,这样每次显示图形的时候都能扫描一次键盘,能使频率和图形随时根据键盘发生改变,扫描键盘后判断有无按键,无按键继续显示之前的图形,有按键再跳到对应的图形显示程序,反复循环。
扫描键盘时先扫描KINT键,看P0.1是否为低电平,不是则延时不变,是则改变延时程序的循环次数来改变频率。
单片机循环彩灯_电子电路综合设计与装配实训报告 精品

新疆工程学院实训报告实训科目电子电路综合设计与装配实训系部电气与信息工程系专业电气自动化班级电气11-44(3)姓名实训地点A223教室指导教师完成日期2013.1.4新疆工程学院教务处说明一、报告封面必须按指定封面用钢笔或炭素笔填写,字体要规范。
二、报告应含有以下内容:1、前言2、实习目的及要求3、实习时间4、实习地点5、实习单位和部门6、实习内容:按实习大纲、实习进度计划的要求和规定,并结合自己的体会写。
7、实习总结指导教师评语及成绩评定教师评语:年月日评定结果教师签字:新疆工程学院实习(实训)鉴定表系部:电气与信息工程系专业:电气自动化填表时间:2013.1.4班级姓名学号联系电话宿舍住址实习地点A221室实习时间2012年12月3日—2013年1月5日课题内容或实习内容电子电路综合设计与装配实习所在单位评鉴定等意见:负责人签字:年月日实习带队教师评鉴带队教师签字:年月日学生所在系部评鉴系部领导签字:年月日评定结果系部盖章:注:1、本表用于三周以上的实习(实训)、设计、测绘等实践教学评定。
2、评定结果按“优、良、中、及格、不及格”五级予以评定。
3、如实习所在单位另有鉴定材料,可附于本表后。
新疆工程学院电气系《电子电路综合设计与装配》实训任务书12/13学年上学期2012年12月3日专业电气自动化班级11-44(3)实训名称电子电路设计与装配设计题目循环彩灯指导教师起止时间2012.12.3—2013.1.5周数 5 设计地点A221教室实训目的:1、巩固和扩大已学过的电子技术的基础知识,为专业课程的学习建立初步的感性认识并提高我们的工程实践能力。
2、掌握印刷电路板的设计与制作的基本方法。
3、了解电子产品的生产过程,包括生产工艺流程,电子元器件的识别、老化、筛选、测试、焊接、装配及调试,掌握电子线路的安装、焊接和调试的基本技能。
4、了解一种电子设备主要零部件加工过程的技术要求、结构原理以及装配调试工艺。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
华南农业大学实验报告
专业班次08电信1 组别200831120102 题目实验六定时器控制循环彩灯姓名陈建泽日期2010.11.4
一、实验目的
1.学习89C51内部定时器的使用和编程方法。
2.进一步掌握中断处理程序的编写方法。
二、实验设备:
STC89C52单片机实验板、串口下载线、USB连接线、电脑
三、实验原理
1.定时常数的确定
定时器/计数器的输入脉冲周期与机器周期一样,为振荡频率的1/12。
本实验中时钟频率为12.0 MHZ,现要采用中断方法来实现0.5秒延时,要在定时器1中设置一个时间常数,使其每隔0.05秒产生一次中断,CPU响应中断后将R0中计数值减一,令R0=0AH,即可实现0.5秒延时。
时间常数可按下述方法确定:
机器周期=12÷晶振频率=12/(12×106)=1us
设计数初值为X,则(216-x)×1×10-6=0.05,可求得X=15536
化为十六进制则X=3CB0H,故初始值为TH1=3CH,TL1=B0H
2.初始化程序
包括定时器初始化和中断系统初始化,主要是对IP、IE、TCON、TMOD的相应位进行正确的设置,并将时间常数送入定时器中。
由于只有定时器中断,IP不必设置。
3.设计中断服务程序和主程序
中断服务程序除了要完成计数减一工作外,还要将时间常数重新送入定时器中,为下一次中断做准备。
四、实验步骤
1. 分析实验所用到的电路原理图,根据需要连接跳线帽。
2. 89C51内部定时器1按方式1工作,即作为16位定时器使用,每0.05秒钟T1溢
出中断一次。
P1口的P1.0~P1.7分别接发光二极管的LED1~LED8。
要求编写程序模拟一循环彩灯。
彩灯变化花样为:①LED1、LED2、…LED8依次点亮;②LED1、
LED2、…LED8依次熄灭;③LED1、LED2、…LED8全亮、全灭。
各时序间隔为0.5 成绩:教师:日期:
秒。
让发光二极管按以上规律循环显示下去。
3.根据流程图,编写实验程序,并完成调试。
五、实验流程图
定时器控制循环彩灯流程图
六、实验程序
根据实验流程图,编写出一下实验程序,并完成调试。
//*******************实验6 定时器控制循环彩灯实验**************
;实验名称:定时器控制循环彩灯实验
;功 能:彩灯变化花样为:①LED1、LED2、…LED8依次点亮;
; ②LED1、LED2、…LED8依次熄灭;③LED1…LED8全亮、全 灭。
; 各时序间隔为0.5秒。
让发光二极管按以上规律循环显示下去。
;编 写 人:08电信1 陈建泽
;编写时间:2010年11月4日
//***********************程序代码如下*****************************
ORG 0000H
AJMP START
ORG 000BH ;定时器0中断服务子程序入口
AJMP T0INT
ORG 0030H
START:MOV TMOD,#01H ;定时0工作模式1
MOV TH0,#(65536-50000)/256 ;定时器0高八位初值
MOV TL0,#(65536-50000)MOD 256 ;定时器0低八位初值
SETB EA ;开总中断 开始 定时器初始化,50ms 一次中断 R0做中断次数寄存器 A 控制P1口 等待中断 中断产生 各灯依次点亮 各灯依次熄灭 全亮、全灭
给A 重装初值 中断返回
SETB ET0 ;开定时器0中断
SETB TR0 ;启动定时器0
MOV A,#0FEH ;先点亮第一盏灯
MOV P1,A
MOV R0,#00H ;R0为中断次数寄存器
AJMP $
//*******************定时器0中断服务子程序**********************
T0INT:MOV TH0,#(65536-50000)/256
MOV TL0,#(65536-50000)MOD 256
INC R0
CJNE R0,#10,TEND ;每中断一次为50ms,R0增1
MOV R0,#00H ;中断10次后,为0.5S,R0清零
CJNE A,#0FFH,LOOP0 ;若A为FFH,则循环了一次,应转去使其全亮
AJMP LOOP2
LOOP0:JNB ACC.7,LOOP1 ;若A最高位为0了,则全部都亮完,转去依次灭CLR ACC.7 ;若A最高位为1,则清零循环左移,依次点亮各灯RL A
MOV P1,A
AJMP TEND
LOOP1:SETB ACC.7 ;置位,循环左移,依次熄灭各灯
RL A
MOV P1,A
AJMP TEND
LOOP2:MOV P1,#00H ;循环依次后,使全部亮
ACALL D05S ;延时0.5S
MOV P1,#0FFH ;使全部等灭
ACALL D05S ;延时0.5S
MOV A,#0FEH ;赋值A为FEH
MOV P1,A
TEND: RETI
//*********************0.5S延时子程序****************************
D05S: MOV R3,#5
L1: MOV R2,#200
L2: MOV R1,#248
DJNZ R1,$
DJNZ R2,L2
DJNZ R3,L1
RET
END
//*********************程序编写结束*****************************
七、调试遇到问题及解决办法
1.使用定时器中断时,往往在主程序中赋初值的寄存器,在中断服务程序中也要重新赋初值。
例如本例中的TH1、TL1,还有控制P1口的累加寄存器A。
2.因为在主程序中涉及全亮和全灭,这两个配合使用了两次一般的延时子程序,但是大部分的延时还是依靠定时器中断完成的。