哈工大数电大作业-作业1-计数器
哈工大2012数字电路大作业题目
数字电路大作业题目说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。
题目1:电子密码锁的设计[设计要求](1)设计一个开锁密码至少为4位数字(或更多)的密码锁。
(2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。
(3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。
(4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。
注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等)题目2:乒乓球比赛模拟机的设计乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。
[设计要求](1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”的移动速度可以调节。
(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,表示未击中或违规,则对方得一分。
(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。
(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。
(5)能显示发球次数。
注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等)题目3:液体点滴速度监控装置的设计设计医用点滴速度自动控制装置。
假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。
[设计要求](1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。
哈工大 电工大作业 时钟
数字时钟
一、设计要求
设计一个数字时钟,具有计时和置位功能。
二、设计方案
1、用1HZ的信号发生器作为信号的产生。
2、利用74LS161改进成十进制计数器控制时钟秒钟和分钟的个位。
3、利用74LS161改进成六进制计数器控制时钟秒钟和分钟的十位。
4、利用74LS161改进成六二十四进制计数器控制时钟小时。
5、利用74LS161 Cr 端进行清零设置。
6、利用74LS47驱动七段LED显示器。
7、相关引脚图
三、设计电路
正常工作时j1和j3均接高电平,需要置位时,将j1和j3换挡,每个74LS161置位端(A B C D)接上相应的数据即可
四、设计总结
本次试验在仿真软件上成功运行,设计过程中出现部分错误,经过调试,最终成功调试出所需功能的电路。
此次大作业,加深了我对电路知识的掌握,我进一步了解了几种元件,对部分芯片的使用达到了熟悉的程度。
对它们的应用有了更多的想法。
还有对出现各种问题时的分析处理能力。
为以后设计电路给了我一个启蒙。
今后我会更加努力,在听课的同时更好地利用身边的各种资源,努力在电路方面有更多的进步。
哈工大数电大作业——学号后三位为模的计数器
数字电子技术应用Verilog HDL设计计数器学院:航天学院班级:学号:姓名:教师:设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。
设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。
然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。
程序代码:modulejishuqi(out,reset,clk);output [7:0] out;inputreset,clk;reg [7:0] out;always @(posedgeclk)beginif(!reset)out<=8'h00;else if(out>=113)out=8'h00;else out<=out+1;endendmodule激励源设置程序:`timescale 1 ns/ 1 psmodulejishuqi_test();regclk;reg reset;wire [7:0] out;jishuqi i1 (.clk(clk),.out(out),.reset(reset));initialbegin#1 clk=0;#10 reset=0;#40 reset=1;endalways #20 clk=~clk ;endmoduleModelsim仿真波形图:注二进制数01110001化成十进制数为113,因此得到了正确的波形图。
RTL ViewerTechnology Map Viewer。
哈工大电工电子大作业电子时钟设计
电工电子学大作业数字电子时钟的设计班学号:一实验目的数字电子钟是用数字集成电路构成并有数字显示特点的一种现代计数器。
目前数字电子钟的设计,主要是采用计数器等集成电路构成,大多是由振荡器、计数器、译码器、LED 显示器组成。
译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差。
这种用数字电路实现的电子钟与机械式时钟相比具有更高的准确性和直观性,且使用寿命更长。
因而广泛应用于车站、码头、商店等公共场所。
为了更加详细的了解电子时钟的实现方法,在这次创新实验设计中我选择了做一个电子时钟,希望能够通过这次实验更加深刻地理解和掌握各种进制计数器的构成方式,了解计数器、寄存器在现实生活中的应用。
二总体设计方案数字电子时钟主要是由秒脉冲信号发生器,时分秒计数器,译码显示器等电路构成。
本次实验采取模块化设计方式。
整个电路划分为秒脉冲发生器模块,秒计时器模块,分计时器模块,小时计时器模块和译码显示器模块。
其中秒脉冲发生器由555定时器构成的多谐振荡电路实现,能够产生频率为1Hz的矩形脉冲;分、秒计时器采用60进制计数器,分别由两个74LS161芯片通过级联法构成,小时计时器采取24小时制,由两个74LS90通过级联法构成24进制计数器;译码显示器采用七段显示译码器。
三预计实现功能1显示时间,能够以24小时制显示时分秒;2 时间校正,能够对时分秒分别进行校正。
四实验电路图按照电路的组成原理,实验电路图由三部分构成,分别是秒脉冲发生器部分,时分秒计数器部分,译码显示器部分。
1 秒脉冲发生器模块其中IO1为秒脉冲输出端口。
2 分、秒计时模块其中IO1为脉冲输入端口,IO2——IO9为输出驱动七段显示译码器的信号端口,IO2——IO5为个位,IO6——IO9为十位,数字由小到大分别对应七段显示译码器的A、B、C、D 信号输入端。
IO10为向分钟进位的输出脉冲信号端口。
3 小时计时模块其中IO1为脉冲输入端口,IO2——IO9为输出驱动七段显示译码器的信号端口,IO2——IO5为个位,IO6——IO9为十位。
数电大作业
子技术》大作业电子技术基础是一门实践性很强的课程。
数字电路大作业是在学完本门课程后,对所学知识的综合性考察。
大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。
希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。
【理论设计要求】1.每3人一组,完成其中一个题目。
每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。
2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。
3.不能使用单片机实现。
4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。
【实物制作要求】1.制作出一个实用的电子电路,具体不限,能成功演示其功能。
2.一人或者两人一题。
3.写出简单的设计说明,并于网上提交。
4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。
【报告内容】1. 目录2. 设计目的及要求工作原理、系统方框图4. 各部分选定方案及电路组成、相关器件说明5. 调试过程(如果没做实物,可免)6. 设计结论7 设计心得与总结(要具体落实到小组各成员)8. 参考文献9. 附录附录一:元器件清单附录二:总体设计图附录三:仿真结果(可无)附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的)【理论设计题目】NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。
NO.2 循环彩灯电路NO.3设计一个多路抢答器,可供8名选手进行抢答;主持人可清零系统和宣布抢答开始;主持人可设定抢答时间进行定时抢答,并显示选手的编号及音响提示。
NO.4 用555芯片设计三种警笛发音电路:警车、消防车和救护车,频率和持续时间自定义,以接近实用警笛唯优。
NO.5 设计一数字时钟电路,要求24小时制,有时分校准功能。
哈工大电大数字电子技术基础大作业
哈工大电大数字电子技术基础大作业
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业
课程名称:数字电子技术基础
设计题目:血型与状态机
院系:
班级:
设计者:
学号:
哈尔滨工业大学
血型逻辑电路设计
一实验目的
1.掌握采用可编程逻辑器件实现数字电路与系统
的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件
的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方
法。
二设计要求
1.采用BASYS2开发板开关,LED,数码管等制作验
证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设
计到BASYS2开发板。
三电路图
1.电路模块图(简化)
应用:
2.内部电路组成(简化)。
哈尔滨工业大学数字电子技术基础课程设计报告:基于Verilog HDL语言的计数器设计
H a r b i n I n s t i t u t e o f T e c h n o l o g y
数字电子技术基础大作业报告
课程名称:数字电子技术基础
设计题目:V erilog HDL 计数器编程
院系:航天学院控制科学与工程系班级:0904102班
姓名:某某某
学号:xxxxxxxxxx
指导教师:王淑娟
设计时间:2011年12月
哈尔滨工业大学
Verilog HDL计数器编程
1设计任务
利用Verilog HDL设计一个模为学号后三位的计数器,设计要求:
1)编写源程序;
2)给出仿真电路图和仿真波形图。
2设计步骤
1.安装Quartus II软件并破解。
2.根据设计要求编写程序代码。
3.生成仿真电路图和波形如图。
3程序代码
4仿真电路图和波形图
如下图所示。
哈工大数电自主设计实验_进制可选计数器设计
1
1
1
7
8
1
0
0
0
8
9
1
0
0
1
9
10
1
0
1
0
10
11
0
0
0
0
0
12
0
0
0
1
1
表5 进制可选计数器数据记录(S2S1S0=100)
CP
Q3
Q2
Q1
Q0
LED显示(对应的十进制数)
0
0
0
0
0
0
1
0
0
0
1
1
2
0
0
1
0
2
3
0
0
1
1
3
4
0
1
0
0
4
5
0
1
0
1
5
6
0
1
1
0
6
7
0
1
1
1
7
8
1
0
0
0
8
9
1
0
0
1
9
10
数电自主设计实验
进制可选计数器设计
2012年12月
进制可选计数器设计
一、实验目的
1、利用实验室现有芯片设计一进制可选的加法计数器;
2、 根据该电路设计思想和实验结果提出实现任意进制计数器的一种方案。
二、实验原理
1、计数器是最常见的时序逻辑电路,广泛应用于计数、定时、分频等场合。每个计数循环中所含不同状态的个数称为计数器的进制数。常见的计数器有以下几种:
直流稳压电源
大作业-(1)知识讲解
大作业-(1)
精品文档
收集于网络,如有侵权请联系管理员删除 大作业内容如下,共3道题目,大作业满分100分。
1、 电路设计题(30分)
设计一个组合逻辑电路,该电路输入端接收两个2位二进制数21A=A A ,21B=B B ,当A B ,输出Z=1,否则Z=0。
试做出该题的真值表、输出函数表达式以及电路图。
2、画图题(30分)
设下面各个触发器的初态皆为0,画出各个触发器的输出Q 端的波形。
(设触发器初态为0)
CP
CP
Q
CP
CP Q
3、仿真软件设计电路图(40分)
安装北邮仿真软件(该软件请到主题5中下载),然后打开全加器逻辑功能验证电路图,当A1,B1,1Ci-1分别为101,以及A2,B2,2Ci-1分别为
011,电路图该怎么接,发光二极管的状态如何?请大家把连接的电路图完整截图黏贴上来。
哈工大—《电子技术I》综合设计大作业设计报告—体育运动计时数字电子秒表
体育运动计时数字电子秒表摘要:本设计采用74LS161为基础,结合与非门和非门构成了100进制,60进制计数器来进行计时,时钟脉冲以0.01s为周期产生脉冲来驱动计数器计数,计数器与集成寄存器74LS194相连,74LS194实现暂停保持功能,但不影响计数器计数。
计数输出通过七段显示译码器74LS47与双七段LED显示器相连实现电子秒表功能关键词:同步集成计数器74LS161 集成寄存器74LS194 双七段LED显示器七段显示译码器一、设计任务能在60分钟内显示计时时间,精确到0.01秒。
按下启动按键后,即可开始计时,计时过程中按暂停键可使数字显示暂停(计时仍然进行),再次暂停键,可恢复显示。
利用复位键可随时将计时过程及显示复位。
二、设计方案1.时间显示方案根据要求能在60分钟内显示时间,并且精确到0.01秒,我想到了三种方案可供选择:(1)第一种方案参考电子技术教材中对七段LED显示器的介绍,采用6个共阳极的七段LED显示器来分别表示时间,如图一所示,左边两个数码管用于表示分钟,中间两个数码管用于表示秒的整数,右边两个数码管用于表示秒的小数位,精确到了0.01秒,而且左数第四个数码管为带有小数点的数码管,具有八个接口,第八个接口用于显示小数点。
图一 6个七段LED显示器显示时间每个七段LED显示器与7个限流电阻相连,防止电流过大。
驱动共阳极显示器的七段显示译码器采用74LS47,可以将8421BCD码译成七段显示显示器的驱动信号。
这种方案的缺点是采用了7个LED显示器,元件过多,电路复杂。
(2)第二种方案为了解决元件过多的问题,对上述电路进行了优化,采用双数字显示的七段LED显示器,每个LED 显示器可以显示两个数字以及两个小数点,如图二所示,左边第一显示器显示分钟,第二个显示器显示秒钟,第三个显示秒的小数位。
与显示器相连的限流电阻和七段显示译码器同方案一。
图二双数字显示的七段LED显示器这种方案的优点是节省显示器,减少了元件数量,简化了电路,而且还能够根据需要表示出小数点来表示小数。
哈工大数电大作业
哈工大数电大作业————————————————————————————————作者:————————————————————————————————日期:H a r b i n I n s t i t u t e o f T e c h n o l o g y数字电子技术基础大作业课程名称:数字电子技术基础设计题目:血型与状态机院系:班级:设计者:学号:哈尔滨工业大学血型逻辑电路设计一实验目的1.掌握采用可编程逻辑器件实现数字电路与系统的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方法。
二设计要求1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。
三电路图1.电路模块图(简化)应用:2.内部电路组成(简化)四 编程1.源程序module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi);input N;input P;input Q;input clk;output E;output[3:0] F;output[3:0] G;output[7:0] OUT;output[3:0] CTL;reg E;reg[3:0] F;reg[3:0] G;reg[7:0] OUT;reg[7:0] OUT1;reg[7:0] OUT2;reg[7:0] OUT3;reg[7:0] OUT4;reg[3:0] CTL=4'b1110;output bi;reg bi;integer clk_cnt;reg clk_400Hz;always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000)beginclk_cnt <= 1'b0;clk_400Hz <= ~clk_400Hz;endelseclk_cnt <= clk_cnt + 1'b1;//位控制reg clk_1Hz;integer clk_1Hz_cnt; //1Hz发声信号always @(posedge clk)if(clk_1Hz_cnt==32'd2*******-1)beginclk_1Hz_cnt <= 1'b0;clk_1Hz <= ~clk_1Hz;endelseclk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz)CTL <= {CTL[2:0],CTL[3]};//段控制always @(CTL)OUT=OUT1;4'b1011:OUT=OUT2;4'b1101:OUT=OUT3;4'b1110:OUT=OUT4;default:OUT=4'hf;endcasealways @(M or N or P or Q)beginE=(P&Q)|(~M&~N)|(~M&Q)|(~N&P); //选择能否输血case(E)1:beginOUT1=8'b10001001;OUT2=8'b01100001;OUT3=8'b01001001;OUT4=8'b11111111;bi=clk_400Hz;end0:beginOUT1=8'b00010011;OUT2=8'b00000011;OUT3=8'b11111111;OUT4=8'b11111111;bi=clk_1Hz;endendcaseendalways @(M or N or P or Q) //显示输入输出血型beginif(M==1&&N==0)F=4'b1000;else if(M==0&&N==1)F=4'b0100;else if(M==1&&N==1)F=4'b0010;elseF=4'b0001;endalways @(M or N or P or Q) //显示输入输出血型beginelse if(P==0&&Q==1)G=4'b0100;else if(P==1&&Q==1)G=4'b0010;elseG=4'b0001;endendmodule2.管脚定义程序NET "M" LOC=N3;NET "N" LOC=E2;NET "P" LOC=L3;NET "Q" LOC=P11;NET "E" LOC=B2;NET "OUT[7]" LOC = L14;NET "OUT[6]" LOC = H12;NET "OUT[5]" LOC = N14;NET "OUT[4]" LOC = N11;NET "OUT[3]" LOC = P12;NET "OUT[2]" LOC = L13;NET "OUT[1]" LOC = M12;NET "OUT[0]" LOC = N13;NET "CTL[3]" LOC = K14;NET "CTL[2]" LOC = M13;NET "CTL[1]" LOC = J12;NET "CTL[0]" LOC = F12;NET "clk" LOC=B8;NET "F[3]" LOC=G1;NET "F[2]" LOC=P4;NET "F[1]" LOC=N4;NET "F[0]" LOC=N5;NET "G[3]" LOC=P6;NET "G[2]" LOC=P7;NET "G[1]" LOC=M11;NET "G[0]" LOC=M5;NET "bi" LOC=B6;五仿真图六下载设计到BASYS2开发板与实物图实物图:附:程序流程:1.基本电路设计表示O型。
电子技术大作业
哈工大航天工程与力学系《电子技术设计》大作业专业__飞行器设计与工程__班级 1018203班姓名冯铮学号_____ **********____一:设计电路目的:目前的计数器很多都需要首先预置初始状态,一旦初始状态不符合要求,计数器即不能工作或者出现异常,如何能够成功的设计出一款具有自校验能力的计数器,本次设计我采用的是由JK触发器所组成的异步时序电路构成的格雷计数器。
二:设计出的电路图:DSTM1Implementation =三:分析电路的组成:各个触发器的状态是异步改变的。
在分析这种异步时序线路的时候,各触发器的CP 端输入(CP1)应与J1和K1一样看作是控制函数。
因此,只要把CP1写入各触发器的特征表达式中,即可分析。
各个触发器的控制函数: J4=Y3Y2 J3=K3=1J2=4y K2=1 J1=K1=1 并且:CP1=CLK CP2=CP4=111y n y +CP3=212y n y +JK 触发器是在CP 脉冲的下跳沿建立次态的。
因此,当CP 脉冲出现下眺沿时,可认为CP=1.根据CP3的连接方式,当Y2触发器由“1”变成“0”时,CP3才形成下眺沿。
因此CP3=1的条件是Y2触发器的现态Y2=1,而次态21y n +=0,可得CP3的表达式。
CP2和CP4的表达式可类似的确定,CP1就是输入脉冲信号CLK 。
当CP 作为控制函数时,JK 触发器的特征表达式:Qn 1+=(Q J +Q K )CP+CP Q各个触发器的次态表达式:41y n +=(44Y J +44y K )CP4+44CP y=234y y y (111y n y +)+y4(111y n y +)31y n +=(33y J +33y K )CP3+33CP y=3y (212y n y ++y3(212y n y +))21y n +=(22y J +22y K )CP2+22CP y=24y y (111y n y +)+y2(111y n y +)11y n +=(11y J +11y K )CP1+11CP y=CLK y 1+CLK y 1由上面的式子可以看出高位触发器的次态不仅和它自身的现态有关而且还和低位触发器的次态有关。
哈工大模电大作业1
模电大作业(一)设计题目由AD590组成的测温电路院系电气工程及其自动化班级设计者学号指导老师设计时间由AD590组成的测温电路【摘要】:AD590是AD公司利用PN结正向电路与温度的关系制成的电流输出型两端温度传感器。
由于该器件具有良好的线性特性和互换性,因此测量精度高并具有消除电流波动的特性。
本文根据电流电压转换原理采用AD590设计了一个简单的温度测量电路。
【关键词】:AD590,测温,电流电压转换电路1.任务:利用AD590设计一个温度测量电路。
2.设计要求:(1)测量温度范围在0-30℃,输出电压上限5V。
(2)电阻选用标准值。
(3)说明所选运放型号,管脚图。
(4)AD590管脚图。
(5)对部分或全部电路进行仿真。
1.理论分析(1)AD590简介集成温度传感器AD590是一种电流型二端元件,有“+”“-”两个有效引脚,给这两个引脚提供电压后,其通过的电路与AD590的温度成正比,AD590管脚图如图1所示,最后一个引脚为传感器外壳,可悬空或者接地(气屏蔽作用)。
AD590的基本参数(1)测温范围- 55℃~+l50℃;(2)线性电流输出lμA/ K;(3)线性度好,满刻度范围为±0 .3℃;(4)电源电压范围4 -30 V,当电源电压在5 ~10V之间,电压稳定度为l %时,所产生的误差只有±0.01℃;(5)电阻采用激光修刻工艺,使在+25℃(298.2K)时,器件输出298.2μA;(6)功率损耗低。
由参数知,电流I与温度成线性关系,温度每增加1℃,电流I随之增加1µA。
在制造时按照热力学温度标定,即在0℃时,AD590的电流为I=273µA。
电流I(µA)与温度t(℃)的关系可用函数(数值关系)表示为I=273+t。
(2)测温电路设计与参数选择为了将AD590输出的电流信号转化为电压信号,应与AD590串联10K Ω的电阻,则在0℃时电阻上的压降为2.73V ,温度每增加1℃,电阻上的压降增加10mV ,为了使温度为0℃是的输出电压为0V ,应加入偏移量,来抵消此时的AD590输出。
数电大作业
一、作业目的1、了解Verilog程序的编写方法2、了解Quartus Ⅱ软件的使用方法3、学会用仿真软件辅助课本知识的学习二、作业要求利用Verilog HDL设计一个以自己学号后三位为模的计数器。
学号后三位:511,所以计数器计数范围:0——510三、作业内容1、加法计数器(1)设计思路异步计数时,当out=11111110时,计数器异步清零,同步计数时,当out=11111101,且有一个上升沿来到时,计数器清零,用Verilog语言书写规则编写程序。
(2)源程序//计数器module count511(out,clk,reset);output[8:0] out;input clk,reset;reg[8:0] out;always @ (posedge clk or negedge reset)beginif(!reset)out<=0;else if(out==510)out<=0;elseout<=out + 1;endendmodule(3)仿真结果仿真波形图仿真电路图三、作业感想Verilog语言是我新接触的编程语言,用于电子电路的仿真。
在学习的过程中,我结合数电知识和C语言的基础,认真学习和研究Verilog语言的每一条语句,在做大作业的过程中实际联系该语言的编程,通过仿真,了解Verilog语言的运行过程和效果,通过得到的仿真波形,再次客观可视的理解计数器的原理和执行过程,在编写中找出自己不会的地方重点学习。
在这次的作业中,我了解了Verilog语言编程和实际加法芯片电路的异同点,再次复习了计数器的同步清零和异步清零的设计思路,加深了印象,非常有助于期末考试数电的复习。
运用仿真软件是提高数电知识理解能力的很好途径,现在,我不只接触到了Matlab、Multisim等通过绘图仿真的软件,而且接触到了通过编程来仿真的软件,对一些实用性强且被用途广泛的软件有了更多的了解,必将对我的数电和以后专业知识的学习提供很多的帮助。
哈工大电子技术大作业 数字时钟设计 秒表清零 时分校准
电子技术课程设计一评分:数字显示电子钟日期:2014年5月26日1)运用六个LED数码管显示小时、分、秒。
显示状态如下图所示,最右面两个LED数码管显示秒钟计时,中间两个LED数码管显示分钟计时,最左面两个LED数码管显示小时计时。
2)可以快速校准小时、分;秒计时可以校零当不需要对小时、分校准时,单刀双置开关S1、S2均接在上方按钮,如下图所示:当需要对分钟校准时,单刀双置开关S1接在下方按钮,S2接在上方按钮,如下图所示:当需要对小时进行校准时,单刀双置开关S1接在上方按钮,S2接在下方按钮,如下图所示:当不需要对秒计时进行清零时,开关S3接上方两个按钮,当需要对秒计时进行清零时,开关S3接下方两个按钮,电路图如下图所示:3)最大显示为23小时59分59秒,电路图以及时钟显示如下图所示:4)秒脉冲信号由1MHz信号经分频器产生,分频器的电路图如下图所示,分频器接入1MHz信号,输出信号频率为1Hz。
5)绘制电气原理图以及各功能块的原理说明电气原理图如下:各个功能块的原理说明:功能块一:电气原理图中上面六个74LS90芯片进行电子钟的计数功能,并且分别将各自的输出端接入到LED数码管的信号输入端,用于显示电子表的小时、分钟、秒计时。
数字时钟开始工作时,脉冲信号开始输入,秒钟部分低位十进制计数器开始从0计数。
一旦满十就往前送出一个脉冲使其高位进一位;秒钟高位计数器一旦满六时就会往分钟计数器的低位送出一个脉冲使其进一位;分钟计数器低位同样是满十送出一个脉冲到分钟高位计数器使其进一位;分钟高位计数器一旦满六,就会往时钟计数器送出一个脉冲使其低位进一位;时钟计时器与分钟及秒钟的设计略有差别。
因为时钟低位计数器为十进制,在时钟数字显示24之前,两时钟正常工作,但是当时钟显示23,分钟显示59,秒钟显示59时,一旦下一个脉冲到来时,时钟,分钟,秒钟显示器全部清零,计时又重新开始计数。
功能块二:电气原理图中下面六个74LS90芯片作为分频器进行将1MHz频率的信号转成1Hz的脉冲信号。
哈工大电子大作业能驱动七段共阴极LED数码管的译码电路
01.设计一个数字电子钟一、设计要求:设计一个数字电子钟电路——(1)能用六个数码管分别显示小时(24小时制)、分钟、秒;(2)能分别对时、分、秒预置初始时间。
如图综合图所示时分秒综合图二、设计方案:1.设计原理及设计方案选择(1)电子钟具有计时和预置的功能,用选择开关Space进行切换功能(2)计时功能由计数器和数码管组成,分别用两个74LS90构成24进制、60进制、60进制,用来计时、计分、计秒,每个74LS90的输出端与一个数码管相连,其中秒位由脉冲源不断产生触发信号,秒位、分位由“59”变为“00”时分别向分位、时位产生一个触发信号(3)预置功能接地的按钮开关构成,闭合开关后开关可自行断开,产生一个触发信号,当选择开关Space 切换到调整时间时,开关A、开关B、开关C可分别对时位、分位、秒位进行预置2.元器件选择及参数计算(1)直流稳压源5V(2)可二/五分频十进制计数器74LS90 6块(3)2 输入端四与门74LS08 1块(4)数码管DCD_HEX 6只(5)脉冲源(1Hz )1只(6)单刀双掷开关1只(7)按钮开关3只三、设计电路:用Multisim 10软件实现的电路如下图:Multisim电路仿真图四、设计总结:1.调试过程中遇到的问题最初设计时预置开关用的是单刀双掷开关,预置时调分开关B接在高电平,当选择开关Space切换到计时时,分钟显示器示数加一,而调时开关A、调秒开关C均接在低电平,切换时并未发生加一现象2.对所遇到问题的分析、处理、解决方法(1)分析:74LS90的INA端时下降沿触发,预置开关用单刀双掷开关时波动两次产生一个触发信号,而预置结束后,如果预置开关接在高电平,当选择开关Space切换到计时时,在74LS90的INA端就会产生一个下降沿触发信号,使数码管示数加一(2)处理、解决方法:按钮开关闭合后可自动弹起,用按钮开关替代单刀双掷开关,预置结束后74LS90的INA端接在低电平,在选择开关Space切换到计时时就不会产生触发信号了3.设计收获和心得体会在平时的课堂学习中,我已经学习到了各种计时器、74LS系列芯片,但只是处于了解阶段,每到做题还要翻书看各个管脚功能,对Multisim软件应用也很少,在设计的过程中,我不断地产生问题、通过教材去解决问题,独自完成了电子时钟设计,现在我已经熟记数字电路书上各种触发器、74LS系列芯片,能较为灵活用Multisim软件设计电路了,同时在这个过程中,我对数电、对Multisim软件都产生了浓厚的兴趣,我认为一切学习的都应该在实践中应用和体现,通过实践才能发现问题,解决问题。
哈工大数电大作业1
一.实验题目1.实验要求:用三个变量设计一个组合逻辑电路,并仿真。
2.实验题目设计:设计三人投票系统。
现有老师A、学生B、学生C,他们对于一个科技创新项目是否通过中期检查进行举手表决。
老师一票算1.5分,学生一票算1分。
该项目若在2分以上,通过中期检查;刚好2分,没有通过但可以延期检查;低于2分终止项目。
用Silinx的BASY2软件设计原理图并在开发板上进行实验。
二.题目分析1.对已知实际问题进行逻辑抽象,确定输入、输出变量。
输入变量:老师A、学生B、学生C。
投票为“1”,不投票为“0”。
输出变量:项目通过情况P。
项目延期检查Q。
项目终止R。
若项目通过,P=1;若项目不通过,P=0。
若延期,Q=1;若不延期,Q=0。
若终止项目,R=1,若不终止项目,R=0。
2.列出真值表。
A B C P Q R0 0 0 0 0 10 0 1 0 0 10 1 0 0 0 10 1 1 0 1 01 0 0 0 0 11 0 1 1 0 01 1 0 1 0 01 1 1 1 0 0表1 真值表3.写出逻辑表达式P=AC+ABQ=ABC+++++R=B C A B A C4.在xilinx 13.4上画出原理图图1 原理图三.电路仿真原理图翻译过来的硬件描述语言如下:// Inputsreg A;reg B;reg C;// Outputwire P;wire Q;wire R;// Bidirs// Instantiate the UUTsanrenjushoubiaojue UUT (.A(A),.B(B),.C(C),.P(P),.Q(Q),.R(R));// Initialize Inputs// `ifdef auto_initinitial beginA = 0;B = 0;C = 0;#100;A = 0;B = 0;C = 1;#100;A = 0;B = 1;C = 0;#100;A = 0;B = 1;C = 1;#100;A = 1;B = 0;C = 0;#100;A = 1;B = 0;C = 1;#100;A = 1;B = 1;C = 0;#100;A = 1;B = 1;C = 1;#100;//`endifendendmodule在软件下仿真的结果如下图:图2 仿真图通过仿真可知,电路原理图设计无误。
哈工大模拟电子技术大作业1
H a r b i n I n s t i t u t e o f T e c h n o l o g y模拟电子技术大作业(一)院系:航天学院4系自动化班级:设计者:学号:指导教师:吕超设计时间:2014年6月一、题目要求用集成运算放大器实现下列运算关系:要求所运用的运放不多于三个,元件要取标称值,取值范围为1KΩ≤R≤1MΩ,0.1uF≤C≤10uF。
画出电路图,并用Multisim进行仿真验证。
二、思路分析运算电路分为三部分,每部分用一个运算放大器:(1)用反相求和运算电路得到u o1 = -(2u i1 + 3u i2),其中系数由反馈电阻决定;(2)用积分运算电路得到u o2 = - 5ʃu i3dt ,其中系数由电阻和电容决定;(3)用差分比例运算电路得到u o = u o2 -u o1 = 2u i1 + 3u i2 - 5ʃu i3dt。
三、电路图四、举例并进行理论分析(1)选取u i1 =0.5V、u i2=1V的直流电源,则得到u o1 = -4V的直流输出信号;(2)选取u i3=±1V且频率为1KHZ的方波信号,则得到u o2 = ±5V的三角波输出信号;(3)最后得到的u o 是直流偏量为4V且幅度为5V的三角波。
五、Multisim仿真验证(1)u i1、u i2和u o1 的仿真结果:(2)u i3和u o2的仿真结果:(3)u o的仿真结果:五、实验总结该实验通过对几种常见的运算放大电路的灵活运用实现了题目要求的运算关系,从仿真结果来看,该设计基本满足实验要求。
实验的难点在于对电路中的各个电阻、电容值的确定,经过理论推导和对多组数值的试验,选定了设计中的实验参数,基本能够满足实验要求。
实验中发现如果积分电路不对5进行处理,而在差分比例运算电路中放大,可以得到完美的波形,但是此时反响求和运算电路的参数就会难以确定,因此实验最后放弃了该想法而选用如图的设计。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
哈工大数电大作业-作业1-计数器
数电大作业 1
计数器
一、实验目的
1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编
程和仿真;
2.掌握数字电路的设计方法,熟悉设计过程及其步骤;
3.培养学生的动手能力,能学以致用,为今后从事电子线路设计
打下良好基础;
4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理
来分析实验现象;
二、实验内容
1.设计内容及要求
1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器;
2)编写源程序;
3)给出仿真电路图和仿真波形图;
2.需求分析:
由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。
若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。
3. 编写源代码:
module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ©(posedge elk) begin
辻(!reset)out=9, hOOO;
else if (load)out=data; else if (out>=212)out=9,
hOOO; else out=out+1; end endmodule
程序说明:
该计数器为一个9位计数器,计数范围0~212,具有同步同
步置数和同步清零功能。
时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。
4. 画出仿真电路图:
图1为同步置数、同步清零加法计数器的仿真电路图
//elk 上升沿触发
〃同步清零,低电平有效 //同步预置
〃计数最大值为212,超过清零 〃计数
讯卿1
c
c^
3. 画出仿真波形
先对逻辑波形进行初始化设置,将清零信号‘ restest '置1, 置数信号‘ load '置0,得到的仿真波形图2所示,波形终止处 如图3所示,图4为计数到212后自动清零。
当reset=0,计数器清零;当reset=1 , load=0时,计数器 计数;当reset=1、load=1时,
计数器计数。
out[8..0]
自动生成的电路
由于延时较长,上升沿触发显示不是很明显
图2 开始计数的状态波形
世g ins
1
| _i J ll 1 fl hit[0][01
tftl ltd L
HI11
u*
13
S nt aiirai o】】m)曲血L '}|:joao :'OOOOO:JpOOtK
图3 逻辑波形---波形终止处
图4 计数到211然后清零
三、实验结果
由仿真波形可以看出,计数器从0 0000 0000计到0 1101 0100 后便清零。
而0 1101 0100B=212D,所以该计数器即为一个模为
213的计数器,符合实验要求。
四、实验总结
1.计数器功能表
2.应熟知计数器的使用方法,并能设计出任意进制的计数
器,在设计时才能得心应手。
计数进制的改变,包括清零法(同步清零和异步清零)和置数法。
假定计数器的计数进制为N,要将其改为M进制的计数器,M< N。
当计数器从全零状态开始计数,若采用同步清零法,设计时应经过 M-1个状态后清零;若采用异步清零法,设计时应经过 M个状态后清零。
这样就跳过了 M个状态,实现了 M进制计数器。
因为采用异步清零法会产生清零不可
靠的问题,本实验采用的为同步清零法。
3.本实验采用的是数据流描述方式来描述电路,通过 assign
连续赋值实现组合逻辑功能,使用简单,语句易于读懂。
4.调试过程中,应沉着冷静,出现错误时,应该根据数电
知识原理来分析,找出错误原因,对症下药;也可上网搜查该错误的含义,可能是软件的使用方法不对。