简易出租车计价器设计

合集下载

出租车计价器系统设计

出租车计价器系统设计

出租车计价器系统设计一、引言二、系统需求1.实时计算乘客的费用,包括起步价、里程费和时间费。

2.支持现金和电子支付两种支付方式。

3.集成GPS导航功能,方便司机找到目的地。

4.提供乘客和司机的行程记录,以便后续查询和管理。

5.具备车辆运营数据统计和分析功能,方便管理者监控业务运营情况。

6.界面友好,操作简单方便。

三、系统设计1.架构设计出租车计价器系统可以采用分布式架构,主要由计价器终端设备、服务器和后台管理系统组成。

计价器终端设备负责实时计算费用,接收用户支付信息并提供导航功能。

服务器将终端设备上的数据上传到后台管理系统,并处理支付信息。

后台管理系统负责行程记录的存储和查询,车辆运营数据统计等功能。

2.功能设计计价器终端设备的主要功能包括:-实时显示乘客的费用,包括起步费、里程费和时间费。

-支持现金和电子支付两种支付方式。

对于现金支付,可以提供找零功能。

-集成GPS导航功能,显示乘客的目的地并为司机提供导航指引。

-提供乘客和司机的行程记录,包括乘车地点、目的地、起步时间、到达时间等信息。

后台管理系统的主要功能包括:-存储和查询行程记录,方便乘客和司机进行查询,也方便管理者进行统计和分析。

-处理支付信息,包括验证支付的有效性和进行支付结果的记录。

-根据行程记录和支付信息生成报表,进行车辆运营数据的统计和分析。

3.数据库设计系统需要至少设计以下几个数据库表:-行程记录表,包含乘车地点、目的地、起步时间、到达时间等信息。

-支付记录表,包含支付方式、支付金额、支付结果等信息。

-车辆表,包含车辆的基本信息,如车牌号、品牌、颜色等。

-用户表,包含乘客的基本信息,如姓名、手机号等。

四、系统流程1.乘客叫车并上车后,司机启动计价器终端设备。

2.计价器显示乘客的起步费和当前费用,并启动计时和记录起步时间。

3.计价器终端设备显示乘客的目的地,并提供导航指引。

4.司机按照导航指引将乘客送到目的地。

5.到达目的地后,计价器停止计时,显示乘客的总费用。

简易出租车计价器实验报告

简易出租车计价器实验报告

西北工业大学课程设计报告题目:简易出租车计价器学院: 电子信息学院班级: 08031001 学生(学号): 窦洋龙(2010301917)学生(学号): 王俊人(2010301907)日期: 2013 年 1 月摘要随着科技的不断发展,有越来越多的科学技术应用到了人们的日常生活中,也给人们的生活带来了很多方便,大家都见过出租车前面都放着一个待显示的计价器,那么它是怎么起到计价功能的呢,其实很简单,只要用现在所学的专业知识就可以自己动手做一个简易的出租车计价器,这里我们还特意加入了等车计价的功能。

在数字电路基础课中学到了好几种计数器芯片,利用这些计数芯片和相关的译码器就可以实现我们的简易计价器的制作。

用同步4位二进制计数器74LS161,四位数值比较器74LS85,二-五-十进制异步计数器74LS90等成该数字系统的设计思想和实现过程。

论述计程模块、计费模块等的设计方法与技巧。

通过该课程设计主要解决与我们平常生活关系密切的出租车计费的问题,且制作该设计所需要元器件的成本较低,适合学生对数字电路的实践所。

关键词:出租车计价器,二进制计数电路,异步计数器,逻辑门电路目录一、课程设计目的 (4)二、设计任务与要求 (4)三、方案设计与论证 (5)四、单元电路设计与参数计算 (7)五、电路的安装与调试 (7)六、遇到问题的解决方法 (8)七、结论与心得 (9)八、参考文献 (10)简易出租车计价器一、课程设计目的1.巩固和加深所学模拟电路基础和脉冲与数字电路基础等课程的基本知识,提高综合运用所学知识的能力;2.培养根据课题需要选用参考书、查阅手册、图表和文献资料的能力,提高独立解决工程实际问题的能力,提高将所学知识真正用于实践的能力,并在实践过程中对知识网络查漏补缺、检验、质疑、创新以加深认识、获得新知;3.通过设计方案的分析比较、设计计算、元件选择及电路安装调试等环节,初步掌握简单实用电路的工程设计方法;4.提高动手能力,掌握常用仪器设备的正确使用方法,学会对简单实用电路的实验调试和对整机指标的测试方法;5.了解与课题有关的电路以及元器件的工程技术规范,能按课程设计任务书的要求编写设计说明书,能正确反映设计和实验成果,能正确绘制电路固等。

EDA简易出租车计价器设计

EDA简易出租车计价器设计

程后自动归零。
(4) 译码显示模块
Page 4
该模块经过8选1选择器将计费数据(4位BCD码)、
计时数据(2位BCD码)、计程数据(2位BCD码)动态
显示输出。其中计费数据jifei4~jifei1送入显示译码模块进
行译码,最后送至以百元、十元、元、角为单位对应的数
码管上显示,最大显示为999.9元;计时数据送入显示译
码模块进行译码,最后送至以分为单位对应的数码管上显
示,最大显示为59分;计程数据送入显示译码模块进行译
码,最后送至以公里为单位的数码管上显示,最大显示为
99公里。其系统组成框图如图2.1所示。
Page 5
时钟信 分频器 计费


等待信


计时
公里脉




计费/
计程
复位
Page 6
3 出租车计费器的层次化设计方案
(1) 分频模块 分频模块对频率为240Hz的输入脉冲进行分频,产生频率为16Hz、 15Hz、1Hz的3种频率。该模块产生频率信号用于计费,每个1Hz脉 冲为0.1元计费控制,15Hz为1.5元的计费控制,16Hz信号1.6元计费 控制。 (2) 控制模块 计价器控制模块主要完成对计价器状态的控制。
Page 3
(3) 计量模块
计量模块完成计价、计时和计程功能。
计价部分:行程在3公里内,而且等待累计时间小于2分钟,起步费为
10元;3公里外以每公里按1.6元计费,等待累计时间超过2分钟按每分钟1.5
元计费。
计时部分:计算乘客的等待累计时间。计时器的量程为59分钟,满量
程后自动归零。
计程部分:计算乘客所行驶的公里数。计程器的量程为99千米, 满量

出租车计价器系统设计方案

出租车计价器系统设计方案

出租车计价器系统设计方案第一章出租车计价器系统功能描述1.1 计价器整体功能描述结构设计出租车计价器方案并进行仿真,完成白天晚上转换计价,显示路程、单价、总金额。

1.2 各部分电路功能描述1 不同情况具有不同的收费标准。

a) 白天b) 晚上2 具有数据的复位功能3 IO 口分配的简易要求a) 距离检测使用霍尔开关A44Eb) 白天/晚上收费标准的转换开关c) 数据清零开关4 数据输出5 按键a) 启动计时开关b) 数据复位(清零)c) 白天/晚上转换第二章方案论证本系统可分4个模块构成:主控电路、掉电保护模块、信号采集模块和显示模块。

2.1主控电路选择方案一使用数字电路和模拟电路来完成设计要求。

显示器件有:LED显示,VFD显示,分段式数码管等等,针对显示单元电路这里可以使用分段式数码管显示,分段式数码管分为共阳极和共阴极两种,对数码管的驱动针对不同的类型采用不同的芯片,共阴极数码管通常采用TTL系列的74LS48驱动,而共阳极数码管通常采用CMOS系列的CD4543来驱动;仅时钟显示电路框图和实际电路就非常复杂,整体电路将更加烦琐。

而且对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现;整体电路的规模较大,用到的器件多,造成故障率高,难调试。

方案二使用单片机来完成设计要求。

单片机功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。

针对计费模式的切换,通过软件编程就可以容易的实现。

避免了机械开关带来的不稳定因素。

确定方案经过上面的两种方案的比较,显然第二种方案具有更大的优越性、灵活性,所以我们采用第二种设计方案进行设计。

2.2显示电路选择方案一:采用液晶显示器(LCD)显示。

液晶屏显示功能强大,可显示各种字体的数字、汉字、图像,还可以自定义显示内容,显示内容较丰富;方便操作者读取信息及一些扩展功能的实现。

出租车计价器系统设计方案

出租车计价器系统设计方案

出租车计价器系统设计方案第一章出租车计价器系统功能描述1.1 计价器整体功能描述结构设计出租车计价器方案并进行仿真,完成白天晚上转换计价,显示路程、单价、总金额。

1.2 各部分电路功能描述1 不同情况具有不同的收费标准。

a) 白天b) 晚上2 具有数据的复位功能3 IO 口分配的简易要求a) 距离检测使用霍尔开关A44Eb) 白天/ 晚上收费标准的转换开关c) 数据清零开关4 数据输出5 按键a) 启动计时开关b) 数据复位(清零)c) 白天/ 晚上转换第二章方案论证本系统可分 4 个模块构成:主控电路、掉电保护模块、信号采集模块和显示模块。

2.1 主控电路选择方案一使用数字电路和模拟电路来完成设计要求。

显示器件有:LED显示, VFD显示,分段式数码管等等,针对显示单元电路这里可以使用分段式数码管显示,分段式数码管分为共阳极和共阴极两种,对数码管的驱动针对不同的类型采用不同的芯片,共阴极数码管通常采用TTL系列的74LS48驱动,而共阳极数码管通常采用CMO系列的CD4543来驱动;仅时钟显示电路框图和实际电路就非常复杂,整体电路将更加烦琐。

而且对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现;整体电路的规模较大,用到的器件多,造成故障率高,难调试。

方案二使用单片机来完成设计要求。

单片机功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。

针对计费模式的切换,通过软件编程就可以容易的实现。

避免了机械开关带来的不稳定因素。

确定方案经过上面的两种方案的比较,显然第二种方案具有更大的优越性、灵活性,所以我们采用第二种设计方案进行设计。

2.2 显示电路选择方案一:采用液晶显示器(LCD显示。

液晶屏显示功能强大,可显示各种字体的数字、汉字、图像,还可以自定义显示内容,显示内容较丰富;方便操作者读取信息及一些扩展功能的实现。

出租车里程计价器设计报告(精简版)

出租车里程计价器设计报告(精简版)

注:1、本实验报告为精简版,简单易懂;2、报告封面需要自行添加;3、本人制作使用的是Multisim 11;附赠:完成的电路图、各部分及总原理图的截图;地址:/share/link?shareid=209026&uk=823578047(需下载本文档后,把遮盖在地址后半部分上的框框删除才可完全显示,方可下载附赠的内容。

PS:不要吝啬你的财富值啦,提供的图绝对能用!)数电部分题目 出租车里程计价器一、设计任务与要求1、里程计费用4位数码管显示,最大金额为99.99元;2、行车里程单价设为1.80元/km ;3、行车时,计费值每公里刷新一次。

二、方案设计与论证方案一:行车里程计数电路每行车1km 输出一个脉冲信号,启动行车单价计数器输出与单价对应的脉冲数,例如,单价是1.80元/km ,则设计一个一百八十进制计数器,每公里输出180个脉冲到总费计数器,即每个脉冲为0.01元。

原理框图:方案二:基于VHDL 的出租车计费器的设计。

采用 FPGA 芯片进行出租车计费器,主要分为分频模块、计量模块、计费模块、控制模块等模块,利用 FPGA 的可编程性,简洁又多变的设计方法,缩短了,研发周期。

主要采用了 FPGA 芯片,使用 VHDL 语言进行编程,使其具有了更强的移植性,更加利于产品的升级。

经过上面的两种方案的比较,两种方案各有优缺点,但它们实质是一样的。

由于刚刚学完了模电和数电,较两种方案而言,第一种方案对于加强和巩固所学的数电知识十分明显,所以我最终选择了第一种方案。

三、单元电路设计与参数计算里程计费电路如下图所示。

安装在与汽车车轮相接的涡轮变速器上的磁铁使干簧继电器在汽车每前进10m 时闭合一次,即输出一个脉冲信号。

汽车每前进译码显示数据锁存1km则输出100个脉冲。

此时,计费器应累加1km的计费单价,本电路设为1.80元。

在图中,干簧继电器产生的脉冲信号经施密特触发器整形到CP0。

CP0送入由74LS161(1)和74LS161(2)构成的一百进制计数器,当计数器计满100个脉冲时,一方面使计数器清0,另一方面将RS锁存器的Q1置为1,使74LS161(3)和74LS161(4)组成的一百八十进制计数器开始对标准脉冲CP1,(CP1脉冲为555定时振荡产生的500Hz脉冲)计数,计满180个脉冲后,使计数器清0。

简易出租车计价器设计

简易出租车计价器设计

简易出租车计价器设计一、任务设计并制作出租车行驶里程及计价的装置。

二、要求1.基本要求(1)里程部分:能测量光电码盘输出的计数脉冲信号,并折算成相应里程数,传给显示单元,误差≤1%;计价部分:起步价10元,当汽车里程行驶未满10m时,均按起步价计算,超过10m后,单价为1.8/m元来计算车费。

(2)能够显示行驶距离、车费等,每项要求至少4位有效数字。

2.发挥部分在完成基本要求任务的基础上,增加如下功能:(1)起步价可调,调整范围±5元,步进为1元;(2)起步价对应初始里程数可调,调整范围±10m,步进为1元;;(3)每米单价数可调,调整范围±5.0元,步进为0.1元,步进可调;(4)可以记忆5个历史测量数据,能够调用查看;断电重新开机以后存储的数据不丢失;(5)票据打印部分;(6)其他创新。

三、说明(1)建议使用MSP-EXP430G2开发板,不做强行要求,光电码盘及其输出可用信号发生器代替。

(2)设计报告正文中应包括系统总体框图、单元模块电路原理图、主要程序流程图、主要的测试数据。

完整的电路原理图、源程序和测试结果可用附件给出。

电子温度计设计一、任务设计制作一个电子温度计。

二、要求1、基本要求(1)温度测量范围:0℃~100℃;(2)显示精度:测量温度与实际温度间误差小于2.0℃;(3)显示位数:要求有效位数共4位,其中小数点后1位;2、发挥部分在完成基本要求任务的基础上,增加如下功能:(1)当测量到温度超过35℃上限或低于15℃下限时,声光报警;(2)可更改报警的上下限温度值,步进1℃;(3)测量温度高于上限值10℃以上,低于下限值10℃以上,温度显示闪烁,要求亮灭间隔1s,间隔可调;(4)其他创新;三、说明(1)建议使用MSP-EXP430G2开发板,不做强行要求,温度传感器必须采用PT100,否则不予评测。

(2)设计报告正文中应包括系统总体框图、核心电路原理图、主要程序流程图、主要的测试结果。

出租车计价器设计

出租车计价器设计

出租车计价器设计一、设计目的出租车计价器是指用于出租车计算乘客乘车费用的仪器或装置,其设计目的是为了提供乘客和驾驶员之间的公平交易和计费机制。

计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算和显示费用,以保证乘客支付公正的费用,同时也为驾驶员提供准确的运营数据。

二、设计要求1.准确计算:计价器需要准确记录乘车时间和里程数,并根据规定的计费标准计算费用。

计算过程需精确无误,避免出现漏计、重计或错误计算的情况。

2.易操作:计价器应具备简单直观的操作界面,方便驾驶员进行操作和读取信息。

操作流程和按钮设置应符合直觉,减少操作难度和误操作。

3.易读显示:计价器应具备清晰明确的显示界面,能够直观地显示乘车时间、里程数和费用等相关信息。

显示屏应有合适的亮度和对比度,以适应各种光照条件下的读取。

4.稳定性和耐用性:计价器需要具备良好的稳定性和耐用性,能够在长时间运营和多种环境条件下保持正常工作。

抗干扰、耐振动和防水设计都是需要考虑的因素。

5.数据保存和传输:计价器应具备数据保存和传输功能,能够存储和导出乘车数据。

数据传输方式可以考虑使用USB接口或者无线传输等方式。

6.合法合规:计价器应符合相关法律法规的要求,包括计费标准、计费规则、计量准确性等方面的要求。

三、设计内容1.硬件设计:硬件设计主要包括以下组成部分:-显示屏:需使用亮度和对比度较好的显示屏,可采用液晶显示屏,具备一定的抗干扰能力,以确保信息清晰可读。

-按钮:设有各种操作按钮,例如开始乘车、结束乘车、改变计费模式等,按钮应具备防误触设计,以避免误操作。

-里程传感器:使用里程传感器准确测量行驶里程数。

-时间计算器:使用准确的时间计算器计算乘车时间。

-存储器:用于保存乘车数据,可以设置一定的存储容量,以保证数据的完整性。

-硬件防水、抗干扰设计:计价器需要具备一定程度的防水和抗干扰能力,以适应各种环境条件下的使用。

2.软件设计:软件设计主要包括以下内容:-计费规则设置:根据规定的计费标准设置计费规则,包括起步价、里程单价、时间单价、低速补贴等。

出租车计价器设计报告

出租车计价器设计报告

出租车计价器设计报告一、引言二、需求分析1.收费标准:根据国家和地方的规定,出租车费用通常包括起步价、里程费和时间费。

2.里程计算:计价器需要能够准确计算起点和终点之间的距离。

3.时间计算:计价器需要能够准确计算乘坐时间,以确定时间费用。

4.显示界面:计价器需要具备清晰易读的显示界面,能够显示当前的车费、里程和时间。

5.操作简便:计价器需要提供用户友好的操作界面,方便乘客和司机操作。

6.故障自检:计价器需要具备自动故障检测和报警功能,以保证正常工作。

三、设计方案1.硬件设计:(1)显示屏:使用液晶显示屏,具备高清显示和低功耗的特点,以确保清晰易读的显示界面。

(2)里程测量:采用GPS定位技术测量车辆的实时位置,并根据起点和终点的坐标计算里程。

(3)时间计算:计价器内置实时时钟,能够记录乘坐的时间,并根据时间段进行费用计算。

(4)按键:提供简单明了的按键,用于用户的选择和操作。

(5)故障检测:利用传感器检测故障情况,并在检测到故障时发出警报。

2.软件设计:(1)界面设计:设计直观简洁的用户界面,显示当前的车费、里程和时间,并提供用户选择的接口。

(2)公式计算:根据收费标准,设计相应的公式进行费用计算。

(3)自动故障检测:通过编程实现自动故障检测,并在检测到故障时,及时报警或提醒用户。

(4)数据存储:将计价器的数据存储在内部存储器中,便于后续的数据分析和管理。

四、实施方案1.硬件实施:(1)购买和组装计价器所需的硬件设备,如液晶显示屏、GPS定位模块、实时时钟等。

(2)开发或选择适合的电路板,将相关硬件设备连接起来,并进行测试和调试。

(3)将电路板和其他硬件设备安装在出租车中,确保稳定工作。

2.软件实施:(1)根据设计方案,开发计价器的软件程序,包括界面设计、公式计算、自动故障检测和数据存储等功能。

(2)将软件程序烧录到计价器的控制芯片中,并进行测试和调试。

(3)部署计价器的软件程序到所有出租车计价器上,以确保统一的功能和用户体验。

课程设计报告 简易出租车计费器

课程设计报告  简易出租车计费器

徐州师范大学物电学院课程设计报告课程名称:电子线路课程实训题目:简易出租车计费器专业班级:学生姓名:学生学号:日期:2010.06.26指导教师:物电学院教务部印制指导教师签字:年月日目录摘要 (02)Abstract (02)一、课题概绪论 (03)1.1 课程设计目的 (03)1.2 课程设计要求................................ (03)二、方案简述 (03)三、详细设计 (03)3.1 74LS192参数 (03)3.2 74LS48参数 (05)3.3 555芯片介绍 (06)四、设计结果及分析 (08)结果(仿真电路) (08)五、总结 (09)参考文献 (09)摘要在当今电子与信息技术发展的科技时代,我们不难发现电子信息技术的应用越来越广泛。

从电子管到晶体管,从小规模集成电路到庞大的系统集成,在我国欣欣向荣的航空航天事业无一不和电子信息技术息息相关。

在人类的生产生活领域中更脱离不开电子信息技术。

为了能够更好的掌握这门技术使它能够为人类造福,我们不但要利用好前辈的科技成果而且还要善于总结,不断的开拓创新。

为了能更好的利用已开发成果,人们把那些典型的、通用的复杂电路,甚至小系统集成在专用芯片中,为设计更新更复杂的系统奠定了坚实的基础。

AbstractIn today's electronic and information technology development in the technological age, we can easily find the application of electronic information technology more widely. From the tubes to transistors, from small to large scale integrated circuit system integration, in China's booming aviation and aerospace industries without exception, and electronic information technology are closely related. In the field of human life, even more off the production of electronic information technology can not be separated.In order to better master this technology to enable it to benefit humanity, we should not only make good use of the older generation of scientific and technological achievements but also good at summing up, constant innovation. In order to better use of the results has been developed, one of those typical, generic complex circuits, even a small system integrated in dedicated chip, for the design of newer and more complex the system laid a solid foundation.一、课题绪论1.1课程设计目的1.1.1有利于基础知识的理解通过对数电和模电的学习,使我掌握了一些信息时代生存与发展必需的信息技术基础知识和基本技能,具备了在日常生活与学习中应用电子技术解决问题的基本态度与基本能力。

出租车计价器系统设计

出租车计价器系统设计

出租车计价器系统设计
1.系统架构:出租车计价器系统的架构应该分为前端和后端两个部分。

前端负责收集乘客相关的信息,如起始时间、终止时间、起点和终点的位
置等,而后端负责根据这些信息进行计算并返回车费结果。

2.数据采集:为了实现计价器的功能,需要采集乘客乘车时的时间和
地理位置信息。

可以使用全球定位系统(GPS)来获取车辆的位置信息,
并通过系统的时钟记录乘车开始和结束的时间。

3.价格计算规则:出租车计价器系统的价格计算规则应该根据当地的
出租车定价政策来确定。

一般情况下,车费的计算会根据乘车的时间和距
离进行,同时还可能考虑其他因素,如车辆类型、夜间加价等。

4.数据存储和管理:为了实现出租车计价器系统的正常运行,需要将
乘车相关的数据进行存储和管理。

可以使用数据库来存储乘客和乘车信息,并使用相关的查询和更新操作进行数据的管理。

5.界面设计:出租车计价器系统的界面应该简洁、易用,方便乘客进
行操作。

可以使用图形用户界面(GUI)来实现用户与系统的交互,并提
供必要的输入和输出界面。

6.维护和升级:出租车计价器系统的维护和升级是保证系统稳定运行
的重要环节。

需要定期检查系统的硬件和软件设备,对系统进行故障排除
和性能优化,并根据出租车定价政策的更新进行相应的系统升级。

综上所述,出租车计价器系统应该具备数据采集、价格计算规则、数
据存储和管理、界面设计以及维护和升级等关键功能。

通过合理的系统架
构和设计,可以实现对出租车乘客车费的准确计算和及时反馈,提升乘客
的满意度和司机的收益。

EDA课程设计-简易出租车计价器设计

EDA课程设计-简易出租车计价器设计

EDA 课程设计[RTX于2012/2/22]简易出租车计价器设计要求:●计价器按1.2元/公里计费,超过10公里后,则按1.8元/公里收费。

●起步价6元(3公里),超过3公里后,计价累加0.6元,10公里内以后每过0.5公里累加0.6元。

●过10公里后, 计价累加0.9元, 以后每过0.5公里累加0.9元。

●公里数4位数字显示,精确到0.1公里; 出租车计价4位数字显示,精确到0.1元。

●即:一.设计方案二.硬件部分:(1)硬件环境: 东南大学SE-5型EDA试验箱,其中核心元件是Altera公司的EPF10K10LC84-4):三.软件部分:(1)软件环境: Altera公司的MAX+plusII(2)程序源代码:1.顶层设计:2.各元件源代码:元件kms2money--kms2money.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;--0.1公里表示为1, 0.1元表示为1entity kms2money isport( rst, clk, enf, dispclk :in std_logic;data4 :out std_logic_vector(3 downto 0);ctl8 :out std_logic_vector(7 downto 0));--data4 :按dispclk的上升沿周期性的依次输出kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0--ctl8 :分别为控制动态扫描显示的8个LED的使能端,高电平使能end kms2money;architecture art_kms2money of kms2money issignal kms3,kms2,kms1,kms0:std_logic_vector(3 downto 0);--公里百位,十位,个位,十分位signal mon3,mon2,mon1,mon0:std_logic_vector(3 downto 0);--金额百位,十位,个位,十分位begin------------------------------------------------------------------------------------------------------ dynctl:process(dispclk,kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0) --动态显示--dispclk上升沿依次来临时data4依次为kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0,周而复始variable times:std_logic_vector(2 downto 0); --3位可表征8种状态,非'0'且非'1'除外beginif dispclk'event and dispclk='1' thentimes:=times+1;case times iswhen "000"=> data4<=kms3; ctl8<="10000000";--使能左起第1位LEDwhen "001"=> data4<=kms2; ctl8<="01000000";--使能左起第2位LEDwhen "010"=> data4<=kms1; ctl8<="00100000";--使能左起第3位LEDwhen "011"=> data4<=kms0; ctl8<="00010000";--使能左起第4位LEDwhen "100"=> data4<=mon3; ctl8<="00001000";--使能左起第5位LEDwhen "101"=> data4<=mon2; ctl8<="00000100";--使能左起第6位LEDwhen "110"=> data4<=mon1; ctl8<="00000010";--使能左起第7位LEDwhen "111"=> data4<=mon0; ctl8<="00000001";--使能左起第8位LEDwhen others=> data4<="ZZZZ";ctl8<="00000000";--无效为高阻都不选中end case;end if;end process;------------------------------------------------------------------------------------------------------ kmsdisp:process(rst,clk) --clk每来一次上升沿认为公里数加0.1公里,即加1beginif rst='1' then kms3<="0000";kms2<="0000";kms1<="0000";kms0<="0000";--初始数据elsif clk'event and clk='1' thenif enf='1' thenif kms0="1001" then --按十进制相加规律加1kms0<="0000";if kms1="1001" thenkms1<="0000";if kms2="1001" thenkms2<="0000";if kms3="1001" then kms3<="0000";else kms3<=kms3+1;end if;else kms2<=kms2+1;end if;else kms1<=kms1+1;end if;else kms0<=kms0+1;end if;end if;end if;end process;------------------------------------------------------------------------------------------------------ mondisp:process(rst,clk)variable kms: std_logic_vector(15 downto 0); --公里数的十六进制值或二进制值variable times:std_logic_vector(2 downto 0);--记录clk上升沿的次数,即有几个0.1公里beginif rst='1'then mon3<="0000";mon2<="0000";mon1<="0110";mon0<="0000";kms:=(others=>'0');times:="000";elsif clk'event and clk='1' thenif enf='1' thentimes:=times+1;if times=1 thenkms:=kms+5; --kms可能为0,5,10,..,6,65,...(5的倍数)--kms+1(0.1公里)与kms+5在价格的角度上看是等价的--XXX.1-XXX.5公里均按XXX.5公里看待,XXX.6-XXX.9公里均按(XXX.9+0.1)看待If kms<=30 then mon3<="0000";mon2<="0000";mon1<="0110";mon0<="0000";--不超过3公里,收起步价6.0元elsif kms<=100 then --超过3公里不超过10公里,每0.5公里金额加0.6元;--------------------------------------------------------if mon0>3 then --按十进制相加规律加6mon0<=mon0-4; --XXX4-XXX9加6后为YZW0-YZW5if mon1="1001" thenmon1<="0000";if mon2="1001" thenmon2<="0000";if mon3="1001" then mon3<="0000";else mon3<=mon3+1;end if;else mon2<=mon2+1;end if;else mon1<=mon1+1;end if;else mon0<=mon0+6; --XXX0-XXX3加6后为XXX6-XXX9end if;--------------------------------------------------------else --超过10公里,每0.5公里金额加0.9元;--------------------------------------------------------if mon0>0 then --按十进制相加规律加9mon0<=mon0-1; --XXX1-XXX9加9后为YZW0-YZW8if mon1="1001" thenmon1<="0000";if mon2="1001" thenmon2<="0000";if mon3="1001" then mon3<="0000";else mon3<=mon3+1;end if;else mon2<=mon2+1;end if;else mon1<=mon1+1;end if;else mon0<=mon0+9; --XXX0加9后为XXX9end if;--------------------------------------------------------end if;elsif times=5 then times:="000";--每计数5次clk就重新计数以便下次"循环"使用--times=0,2,3,4时参数不变,而只在times=1时一笔带过,被包含了.times=0与times=5是等效的end if;end if;end if;end process;------------------------------------------------------------------------------------------------------end art_kms2money;3.元件seg7bcd--seg7bcd.vhdlibrary ieee;use ieee.std_logic_1164.all;entity seg7BCD isport( turn_on :in std_logic;x :in std_logic_vector(3 downto 0);y :out std_logic_vector(6 downto 0));--声明:-- 共阴极7段显示-- turn_on :显示使能开关,高电平有效-- x :4位BCD码,x(3)为最高位,x(0)为最低位-- y :7段显示码,y(6)..y(0)对应g f e d c b aend seg7BCD;architecture art_seg7BCD of seg7BCD isbeginprocess(x,turn_on)beginif turn_on='1' thencase x is --"gfedcba"when "0000"=>y<="0111111";--0when "0001"=>y<="0000110";--1when "0010"=>y<="1011011";--2when "0011"=>y<="1001111";--3when "0100"=>y<="1100110";--4when "0101"=>y<="1101101";--5when "0110"=>y<="1111101";--6when "0111"=>y<="0000111";--7when "1000"=>y<="1111111";--8when "1001"=>y<="1101111";--9when others=>y<="ZZZZZZZ";--无效end case;else y<="0000000";--熄灭end if;end process;end art_seg7BCD;3.仿真结果4.管脚绑定Port Num Name---------------------------------------- [+]LedEN[7..0]LedEN7 80LedEN6 81LedEN5 83LedEN4 3LedEN3 72LedEN2 73LedEN1 78LedEN0 79---------------------------------------- [+]g2a[6..0]g2a6 6 gg2a5 7 fg2a4 8 eg2a3 9 dg2a2 10 cg2a1 11 bg2a0 16 a---------------------------------------- [+]inputCLK 1 CP1dispCLK 43 CP2RST 28 K1nPause 29 K25.下载程序---------------------------------------------------------------------------------------------------------------------- 本程序十分简易,仅供交流与学习。

出租车计价器毕业设计

出租车计价器毕业设计

摘要现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局,预计未来计价器市场很有前景。

计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因此,具有良好性能的计价器对广大出租车司机朋友和乘客来说是很必要的。

但是现阶段出租车的计费系统功能有时候并不能满足出租车司机的意愿。

本课题是出租车计价器系统的设计。

重点是设计出租车计价器的实用性,并尽量使最后的成品接近于商品。

整个系统是以89S51单片机为中心,采用A44E霍尔传感器对轮胎转数进行计数,实现对出租车里程的测量,并最终计算出结果。

其中采用掉电存储芯片AT24C02使系统在掉电的时候对单价、里程等信息进行存储,人机交换界面采用的是LCD1602液晶屏显示,并且通过语音芯片进行播报。

本电路设计的出租车计价器不但能实现计价的功能,同时还能实现根据时钟芯片自动调整白天、黑天计价模式,能自动处理中途等待等功能。

关键词:单片机AT89S51;LCD1602;出租车计价器;霍尔传感器;AT24C02ABSTRACTAutomatic taximeter has been universal among Cities for now. The taximeter technology is a foregone conclusion already. So taximeter of cars is still potential at next generation. As an important component taximeter is not only the interests of taxi drivers but also the passengers, so it has played an important role. The good performed taximeter is necessary for the public. The curre nt taxi billing systems don’t m eet the wishes of people. In this article we talk about various designs of taximeter system.The point is to design the practicality of the taximeter and as far as possible to make the final finished product close to commodities. The whole system is based on single-chip 89S51 and use a hall-sensor A44E to count the number of revolutions. In this way we can achieve the statistics of the taxi mileage and give out the final results. In this design we use AT24C02 to store the price, mileage and other information when the power is down. The man-machine interface of the exchange is LCD1602 screen, and through the voice chip broadcasts. This circuit design can not only realize the meter taxi valuation function, but also can realize the automatic adjustment according to the clock chips during the day, dark pricing mode, it can automatic processing midway waiting for function. Keywords: single-chip AT89S51; LCD1602; Taximeter; Hall sensor; AT24C02目录摘要 (I)ABSTRACT ....................................................................................... I I 1 绪论. (1)1.1 国内外出租车计价器的研究现状 (1)1.2 国内外出租车计价器的发展趋势 (2)1.3 本课题的研究目的及意义 (2)2 出租车计价器的方案论证 (4)2.1 出租车计价器的功能要求 (4)2.2 方案论证与比较 (5)3 出租车计价器的硬件设计 (7)3.1 单片机的介绍 (7)3.2 单片机最小系统 (11)3.3 里程计算模块的设计 (13)3.4 显示模块设计 (16)3.5 语音模块的设计 (18)3.6 掉电存储电路的设计 (21)3.7 按键电路的设计 (23)3.8 时钟电路的设计 (24)4 出租车计价器的软件设计 (27)4.1 模块介绍 (27)4.2 主程序模块 (27)4.3 里程计数中断程序 (28)4.3 定时中断服务程序 (29)4.4 中途等待服务程序 (30)4.5 显示服务子程序 (31)4.6 键盘服务程序 (33)5 系统调试 (34)5.1 软件调试 (34)5.2 里程计价测试 (35)5.2 掉电存储测试 (37)结论与展望 (38)可行性分析报告 (39)参考文献 (40)致谢 (41)附录一 (42)附录二 (43)附录三 (76)1 绪论出租车计价器是出租车营运收费的专用智能化仪表,随着电子技术的发展,出租车计价器技术也在不断进步和提高。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

简易出租车计价器摘要:介绍了一种以单片机为核心的多功能出租车计价器,该计价器采用单CPU结构,具有计量功能、掉电保护功能、语音功能等。

文中阐述了系统的硬件及软件结构。

关键词:出租车计价器单片机多功能ABSTRACT:This paper present a new type of taximeter based on single chip microcomputer. In addition to metering the distance, this kind of taximeter have safe memory function, speech function and so on. The article mainly introduces the system hardware and software.KEYWORDS:Taximeter single chip microcomputer multi-function第1节引言汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。

它关系着交易双方的利益。

具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。

因此,汽车计价器的研究也是十分有一个应用价值的。

电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。

为此我们采用了单片机进行设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。

针对计费模式的切换,通过软件编程就可以轻易而举的实现。

避免了机械开关带来的不稳定因素。

随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注。

于是,出租车行业以低价高质的服务给人们带来了出行的享受。

但是总存在着买卖纠纷困扰着行业的发展。

而在出租车行业中解决这一矛盾的最好方法就是改良计价器。

用更加精良的计价器来为乘客提供更加方便快捷的服务。

现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。

而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。

……1.1出租车计价器概述本电路以89S51 单片机为中心、附加A44E 霍尔传感器测距,实现对出租车计价统计,采用AT24C02 实现在系统掉电的时候保存单价和系统时间等信息,输出采用8 段数码显示管。

本电路设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。

1.2本设计任务1.2.1设计任务设计简易出租车计价器1.2.2 课程设计目的«微机原理与接口技术»是一门实践性和实用性都很强的课程,学习的目的在于应用。

本课程设计是配合“微机原理与接口技术”课堂教学的一个重要的实践教学环节,它能其到巩固课堂和书本上所学知识,加强综合能力,提高系统设计水平,启发创新思想的效果。

我们希望每个学生都能自己动手独立设计完成一个典型的微机应用小系统。

1.2.3 课程设计内容㈠系统功能任务要求1)自动记录(日、夜)单价、总额、里程、耗时2)按十进制显示以上数据3)全部采用LED显示4)有语音播放提示㈡系统设计说明1)可以自增系统功能2)允许用MSC-51系列或8086系列3)系统程序采用汇编或C语言编程4)硬件原理图采用电子CAD绘制1.3 系统主要功能本课程设计所设计的出租车计价器的主要功能有:数据的复位、白天/晚上转换、数据输出、计时计价、单价输出及调整、路程输出、语音播报数据信息、实现在系统掉电的时候保存单价和系统时间等信息等功能。

输出采用8 段数码显示管。

本电路设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。

第2节计价器硬件设计本系统的硬件设计主要包括单片机AT89S51、数据显示部件、A44E霍尔传感器电路、AT24C02 掉电存储单元的设计、里程计算及计价单元的设计。

在硬件设计过程中,充分利用各部件的功能,实现多功能的出租车计价器设计。

2.1系统的硬件构成及功能它由以下几个部件组成:单片机AT89S51、总金额及单价显示部件、键盘控制部件,AT24C02 掉电存储控制、里程计算单元、串中显示驱动电路等。

利用单片机丰富的IO端口,及其控制的灵活性,实现基本的里程计价功能和价格调节、时钟显示功能。

不但能实现所要求的功能而且能在很大的程度上扩展功能,而且还可以方便的对系统进行升级。

具体电路参见“总体电路图”。

单片机控制方案2.2 AT89S51单片机及其引脚说明AT89S51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,具有很高性价比。

AT89S51是一个有40个引脚的芯片,引脚配置如下图所示。

A T89S51引脚配置AT89S51芯片的40个引脚功能为:VCC 电源电压。

GND 接地。

RST 复位输入。

当RST变为高电平并保持2个机器周期时,将使单片机复位。

WDT 溢出将使该引脚输出高电平,设置SFR AUXR的DISRTO位(地址8EH)可打开或关闭该功能。

DISKRTO位缺省为RESET输出高电平打开状态。

XTAL1 反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2 来自反向振荡放大器的输出。

P0口一组8位漏极开路型双向I/O口。

也即地址/数据总线复用口。

作为输出口用时,每位能驱动8个TTL逻辑门电路,对端口写“1”可作为高阻抗输入端用。

在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问期间激活内部上拉电阻。

在Flash编程时,P0口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。

P1口一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。

对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。

作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

Flash编程和程序校验期间,P1接收低8位地址。

P1口部分端口引脚及功能如表1所示。

表1 P1口特殊功能P1口引脚特殊功能P1.5 MOSI(用于ISP编程)P1.6 MOSI(用于ISP编程)P1.7 SCK(用于ISP编程)P2口一个带内部上拉电阻的8位双向I/O口。

P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。

对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。

作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

在访问外部程序存储器或16位地址的外部数据存储器时,P2口送出高8位地址数据。

在访问8位地址的外部数据存储器时,P2口线上的内容在整个访问期间不改变。

Flash编程和程序校验期间,P2亦接收低8位地址。

P3口一个带内部上拉电阻的8位双向I/O口。

P3的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。

对P3口写“1”时,它们被内部的上拉电阻把拉到高电并可作输入端口。

作输入端口使用时,被外部拉低的P3口将用上拉电阻输出电流(IIL)。

P3口除了作为一般的I/O口线外,更重要的用途是它的第二功能,如表2所示。

P3口还接收一些用于Flash闪速存储器编程和程序校验期间的控制信号。

表2 P3口特殊功能P3口引脚特殊功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 (外部中断0)P3.3 (外部中断1)P3.4 T0(定时器0外部输入)P3.5 T1(定时器1外部输入)P3.6 (外部数据存储器写选通)P3.7 (外部数据存储器读选通)PSEN 程序储存允许输出是外部程序存储器的读先通信号,当A T89S51由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN/有效,即输出两个脉冲。

当访问外部数据存储器,没有两次有效的PSEN/信号。

EA/VPP 外部访问允许。

欲使CPU仅访问外部程序存储器,EA端必须保持低电平,需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。

Flash存储器编程时,该引脚加上+12V的编程电压VPP。

2.3AT24C02引脚图及其引脚功能AT24C02芯片引脚配置如下图所示:A T24C02引脚配置图AT24C02芯片DIP封装,共有8个引脚,下表是其引脚功能表:WP接VSS时,禁止写入高位地址,WP接VDD时,允许写入任何地址;2.3.1 AT24C02 掉电存储单元的设计掉电存储单元的作用是在电源断开的时候,存储当前设定的单价信息。

AT24C02是ATMEL 公司的2KB字节的电可擦除存储芯片,采用两线串行的总线和单片机通讯,电压最低可以到2.5V,额定电流为1mA,静态电流10Ua(5.5V),芯片内的资料可以在断电的情况下保存40 年以上,而且采用8脚的DIP封装,使用方便。

其电路如下图:掉电存储电路原理图图中R8、R9是上拉电阻,其作用是减少M24C02的静态功耗,由于M24C02的数据线和地址线是复用的,采用串口的方式传送数据,所以只用两根线SCL(移位脉冲)和SDA(数据/地址)与单片机传送数据。

每当设定一次单价,系统就自动调用存储程序,将单价信息保存在芯片内;当系统重新上电的时候,自动调用读存储器程序,将存储器内的单价等信息,读到缓存单元中,供主程序使用。

2.4 里程计算、计价单元的设计里程计算是通过安装在车轮上的霍尔传感器A44E检测到的信号,送到单片机,经处理计算,送给显示单元的。

其原理如下:传感器测距示意图由于A44E 属于开关型的霍尔器件,其工作电压范围比较宽(4.5~18V),其输出的信号符合TTL 电平标准,可以直接接到单片机的IO 端口上,而且其最高检测频率可达到1MHZ。

(a)(b)集成开关型霍耳传感器原理图A44E集成霍耳开关由稳压器A、霍耳电势发生器(即硅霍耳片)B、差分放大器C、施密特触发器D和OC门输出E 五个基本部分组成,如上图所示。

相关文档
最新文档