出租车计价器课程设计样本
数电课程设计出租车计价器
目录引言 (1)一、设计目的 (1)二、设计任务 (1)2.1设计要求及技术指标 (1)2.2要完成的任务 (1)2.3设计模块 (2)2.4总体思想 (2)2.5总体框图 (3)三、出租车自动计费器的实现 (3)3.1出租车电路的实现 (3)3.1.1里程计算与显示电路 (3)3.1.2里程比较电路 (4)3.2时间计费模块中的计数部分 (6)3.2.1设计任务分析 (6)3.2.3单元电路分析与设计 (6)3.2.4系统原理电路与仿真 (6)3.3 时间计费模块中的比较部分 (7)3.3.1 设计任务分析 (7)3.3.2 系统方案设计 (7)3.3.3 单元电路分析与设计 (7)3.3.4 系统原理电路与仿真 (8)3.4计价部分 (8)四、仿真过程 (8)4.1里程大于3km时 (9)4.2 等待时间大于5分钟时 (9)五、总电路 (10)六、设计心得 (10)七、出租车计费器核心器件简介 (12)7.1 74LS160 (12)7.2 74LS85 (14)7.3 555计时器 (15)7.4 7400N 74LS02N 7404N (17)7.5所用元件数量及价格表 (18)八、参考文献 (19)引言随着社会的进步以及人们生活水平的日益提高都促使交通工具的不断变化,无论是在大城市或是中小城市越来越多的出租车公司不断的出现。
出租车的出现方便了人们的生活,对于现在快节奏生活方式的人们来说出租车无疑是最好的交通工具。
那么其收费的方式自然而然就被给予关注,比如收费标准以及行驶里程等。
一、设计目的1.1 本电子设计主要实现以下目的:1)增强对数字电子技术课程的了解与掌握2)学习相关软件的使用方法3)具备简单电子的设计能力二、设计任务2.1设计要求及技术指标设计一出租车计价器,设计要求及技术指标如下:1、能计汽车行驶的里程和停车等候时间。
2、能自动显示出该收的车费和停车等候费。
3、超过基本里程,每千米行车费增加1元。
出租车计费器课程设计
出租车计费器课程设计一、课程目标知识目标:1. 学生能理解出租车计费器的基本原理,掌握其计算方式和收费标准。
2. 学生能够运用数学知识,设计并计算出租车的计费规则,解决实际生活中的计费问题。
3. 学生了解出租车计费器在生活中的应用,认识到数学与日常生活的紧密联系。
技能目标:1. 学生能够通过小组合作,运用所学的数学知识,设计出合理的出租车计费方案。
2. 学生能够运用信息技术,利用编程软件或其他工具,模拟实现出租车计费器的功能。
3. 学生在解决问题的过程中,培养分析问题、解决问题的能力。
情感态度价值观目标:1. 学生通过学习出租车计费器相关知识,增强对数学学科的学习兴趣,提高学习的积极性。
2. 学生在小组合作中,培养团队协作精神,学会尊重他人意见,提高沟通能力。
3. 学生能够认识到科技与生活的紧密联系,激发对科技创新的兴趣,培养创新意识。
本课程针对小学高年级学生,结合生活中的实际问题,让学生在实际操作中学习数学知识,提高解决实际问题的能力。
课程要求学生在掌握基本知识的基础上,注重培养团队协作、创新意识和实际操作能力,使学生在学习过程中获得成就感,激发学习兴趣。
通过本课程的学习,为学生今后的学习生活奠定基础。
二、教学内容本课程以小学数学教材中“计量单位”和“单价、总价”相关知识为基础,结合实际生活中的出租车计费问题,组织以下教学内容:1. 计量单位:复习长度单位(米、千米)、时间单位(时、分),理解出租车计费中涉及到的计量单位及其换算。
2. 单价与总价:学习单价、总价的概念,理解出租车计费的单价和总价计算方法。
3. 出租车计费规则:学习并掌握出租车起步价、里程价、时长价等计费规则,了解不同城市的计费标准。
4. 实际操作:设计计费方案,运用所学知识解决实际问题。
教学内容安排如下:第一课时:复习计量单位,导入出租车计费问题。
第二课时:学习单价与总价,探讨出租车计费规则。
第三课时:小组合作,设计计费方案,进行实际操作。
EDA简易出租车计价器设计
程后自动归零。
(4) 译码显示模块
Page 4
该模块经过8选1选择器将计费数据(4位BCD码)、
计时数据(2位BCD码)、计程数据(2位BCD码)动态
显示输出。其中计费数据jifei4~jifei1送入显示译码模块进
行译码,最后送至以百元、十元、元、角为单位对应的数
码管上显示,最大显示为999.9元;计时数据送入显示译
码模块进行译码,最后送至以分为单位对应的数码管上显
示,最大显示为59分;计程数据送入显示译码模块进行译
码,最后送至以公里为单位的数码管上显示,最大显示为
99公里。其系统组成框图如图2.1所示。
Page 5
时钟信 分频器 计费
号
显
等待信
号
控
计时
公里脉
制
示
冲
器
计费/
计程
复位
Page 6
3 出租车计费器的层次化设计方案
(1) 分频模块 分频模块对频率为240Hz的输入脉冲进行分频,产生频率为16Hz、 15Hz、1Hz的3种频率。该模块产生频率信号用于计费,每个1Hz脉 冲为0.1元计费控制,15Hz为1.5元的计费控制,16Hz信号1.6元计费 控制。 (2) 控制模块 计价器控制模块主要完成对计价器状态的控制。
Page 3
(3) 计量模块
计量模块完成计价、计时和计程功能。
计价部分:行程在3公里内,而且等待累计时间小于2分钟,起步费为
10元;3公里外以每公里按1.6元计费,等待累计时间超过2分钟按每分钟1.5
元计费。
计时部分:计算乘客的等待累计时间。计时器的量程为59分钟,满量
程后自动归零。
计程部分:计算乘客所行驶的公里数。计程器的量程为99千米, 满量
出租车计价器单片机课程设计
出租车计价器单片机课程设计
一、课程设计背景
1.1 项目简介
出租车计价器是一款用来计算出租车费用的设备,它可以根据客户乘坐出租车的里程数、所在城市、车辆等级等信息,计算出乘客应付的出租车费用。
本课程设计主要以单片机实现出租车计价器的功能,采用单片机控制电容屏和按键,实现出租车客户输入数据和进行相关计算的功能。
1.2 基本要求
(1)设计出租车计价器的硬件模块,包括控制电容屏、按键等;
(2)设计出租车计价器的软件模块,编写出租车计价器的源程序;
(3)实现出租车计价器的测试功能,包括输入数据、计算费用等。
二、课程设计步骤
2.1 硬件设计
(1)材料准备:本课程设计所需的主要硬件设备有:单片机主
控板及其配件、电容屏和按键等。
(2)电路设计:根据课程设计的功能要求,设计出租车计价器
的硬件电路,实现主控板与电容屏、按键等设备的连接。
(3)原理图设计:根据电路设计,绘制出租车计价器的原理图,包括主控板、电容屏和按键等设备的结构。
2.2 软件设计
(1)源程序设计:编写单片机的源程序,实现出租车计价器的计算功能。
(2)组合程序设计:根据源程序,编写出租车计价器的组合程序,实现出租车计价器的实际应用。
2.3 课程实施
(1)硬件实施:根据原理图,把主控板、电容屏和按键等硬件设备安装在一起,组装成出租车计价器。
(2)软件实施:把编写的源程序和组合程序烧写到主控板上,运行程序,实现出租车计价器的功能。
(3)测试实施:运行出租车计价器,输入相关的参数,检验程序的正确性,确保出租车计价器正常工作。
出租车计价器课程设计
燕山大学EDA课程设计报告书题目:出租车计价器(注:此文件应以同学学号为文件名)一、设计题目及要求题目名称:出租车计价器**要求:1.2 Km 起计价,起始价5 元,每公里1.2 元;2.传感器输出脉冲为0.5m/个(用适当频率的时钟信号代替即可);3.每0.5km 改变一次显示,且钱数提前显示(例如运行到2Km 时,应显示5.6 元);4. 分别用三位数码管显示公里数和钱数,另可设置其他必要的按钮。
二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)○1总体设计:分析题目可知,我们的题目可抽象成外界输入的计数脉冲计数以及对脉冲计数值的处理。
首先,对于原始脉冲我们搭建了一个计数器,分别由4000进制计数器和1000进制计数器构成。
其中4000进制计数器是对于前2Km的脉冲计数,而1000进制计数器是对于2公里后的每0.5km的脉冲响应。
当我们得到4000进制的脉冲的时候,关闭4000进制计数器。
开启1000进制计数器;然后就是公里数和钱数的显示,分析得知钱数是处于0、6、2、8、4之间构成循环,于是我们采用了JK触发器构成的计数器;而对于公里数就没有那么复杂,0、5之间循环的话我们之间采用了一个JK触发器构成的取反电路,即每个下降沿改变信号的电位(0000->4000),然后在每个5-0切换的周期输出进位信号;有了上面两个电路之后,我们做的工作就只有将数据显示出来。
我们做了一个6进制计数器,对应输入刷新频率,再将数据信号送入即可显示数据,不过由于输出的是BCD码,所以增加了一个译码器。
○2主要模块1、计数器模块计数器模块如图所示,当然我在后面电路用到的只有OUT05口,其他口我只是为了检测电路是否正常使用而设置。
电路由十一个74160计数器构成,其中前四个构成了一个四千进制计数器,在最后一级QC端我引出加上反相器后与时钟信号做与运算,这样就可以在达到4000的时候锁定该4000进制计数器,同时使QC端一直为1,同时,该信号还作为中间四个1000进制计数器的LDN端输入,即只有在4000个脉冲之后1000进制计数器才会正常工作。
出租车自动计费器课程设计
出租车自动计费器课程设计一、课程目标知识目标:1. 学生能够理解出租车自动计费器的基本工作原理,掌握其计算方法;2. 学生能够运用所学的数学知识,对出租车计费器进行简单的故障分析与计算;3. 学生掌握并能够解释与出租车计费相关的名词,如起步价、里程价、时间价等。
技能目标:1. 学生能够运用编程思维,设计一个简单的出租车自动计费程序;2. 学生能够运用数学知识,解决实际生活中的出租车计费问题;3. 学生能够通过小组合作,共同完成一个具有实际应用价值的计费器设计项目。
情感态度价值观目标:1. 学生培养对数学学科的兴趣,认识到数学知识在实际生活中的应用价值;2. 学生在小组合作中学会沟通、协作,培养团队精神;3. 学生能够关注社会热点问题,将所学知识与实际生活相结合,提高解决问题的能力。
课程性质:本课程为信息技术与数学学科的融合课程,注重培养学生的实践操作能力和创新思维。
学生特点:六年级学生已具备一定的数学知识基础和逻辑思维能力,对新鲜事物充满好奇,具备初步的编程能力。
教学要求:结合学生的特点,注重理论与实践相结合,充分调动学生的积极性,培养其动手操作能力和团队协作能力。
通过课程学习,使学生在掌握知识的基础上,提高解决实际问题的能力。
二、教学内容1. 理论知识:- 了解出租车自动计费器的基本构成及工作原理;- 学习计费器涉及的数学知识,如里程计算、时间计算、总价计算等;- 掌握与出租车计费相关的名词概念,如起步价、里程价、时间价等。
2. 实践操作:- 设计并编写一个简单的出租车自动计费程序;- 分析并解决实际生活中的出租车计费问题;- 小组合作,完成一个具有实际应用价值的计费器设计项目。
3. 教学大纲:- 第一课时:介绍出租车自动计费器的基本构成、工作原理及相关数学知识;- 第二课时:学习起步价、里程价、时间价的计算方法,并进行实际案例分析;- 第三课时:指导学生编写简单的出租车自动计费程序,并进行调试;- 第四课时:小组合作,完成计费器设计项目,展示成果。
出租车自动计费器课程设计报告
电子课程设计——出租车自动计费器出租车自动计费器一、 设计任务与要求出租车自动计费器是根据客户用车的实际情况而自动显示用车费用的数字仪表。
仪表根据用车起价、行车里程两项求得用车的总费用,通过数码自动显示。
1. 设计一个自动计费器,具有行车里程计费,起价等部分。
用四位数码管显示总金额,最大金额是99.99。
2. 行车起价为8.00元,超过5公里为1.6元/公里(60公里每小时)二、总体框图模块功能与设计思路:计费的电路将汽车行驶的里程数转换成与之成正比的脉冲个数,在出租车转轴上加装传感器,以便获得“行驶里程信号”。
脉冲源为电路提供的脉冲的周期与行车里程正比。
起价部分电路通过脉冲源加在八百进制计数器上,在800个脉冲之前显示器一直显示0800,当八百进制计数器显示799时通过门电路控制使其停止计数(此时到达五公里)。
然后160进制计数有效,每计一个数,10000进制计数器也计一个数,显示器的数值加一。
三、器件选择与功能简介元器件名称数量备注555定时器 1 构成脉冲电路74LS190 8 十进制计数器74LS191 1 十六进制计数器行车里程 脉冲源起价10000进制计数器脉冲源160进制计数800进制计数器显示器74LS190逻辑符号 74LS191逻辑符号U174LS190NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190外接引线排列 74ls191外接引线排列单刀单掷开关 1 控制总电路单刀双掷开关 1 控制10000进制的置数端非门 1 构成八百进制计数器或门 2 控制八百进制计数器停在799的位置七输入与门 1 当799时输出高电平,八百进制计数器不再计数四位数码显示器 9 显示数字电阻 2 1个367ohm 1个4、7kohm电容 1 1个100uf 1个1 uf电源电压vcc 1 5v二输入与门 1控制800进制计数器到达799时,10000进制计数器开始计数U174LS191NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190时序图 74ls191时序图74ls190内部原理图 74ls191内部原理图74LS190是可预置数同步可逆加减十进制计数器,符号如图所示,它具有异步置数端LOAD,加减控制端D/U和计数控制端CTEN,为方便级联,设置了两个级联输出端RCO和MAX/MIN.;当加减控制端等于1时减计数,否则为加计数;当异步置数端等于0时置数,当计数控制段为1时禁止计数,为0时,四个触发器将在时钟上升沿开始计数;当计数器加计数,计数值为9或减计数,计数值为0时,MAX/MIN端输出与时钟周期相同的正脉冲,而RCO产生一个宽度为时钟低电平宽度的低电平,74LS191为四位二进制即十六进制同步加法计数器,其功能表与74LS190相同,如图所示输入输出CTEN LOAD D / U D C B A CPX O X d c b a X 异步预置O 1 O 加计数O 1 1 减计数11 XX 保持图.74LS190、191功能表555定时器555 芯片是定时器,,是一种将数字功能和模拟功能集为一体的中规模集成电路。
出租车计价器设计与实现
沈阳航空航天大学课程设计报告课程设计名称:微机系统综合课程设计课程设计题目:出租车计价器的设计与实现院(系):计算机学院专业:计算机科学与技术班级:24010104学号:2012040101037姓名:程里指导教师:罗振说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要求;数据不实,不予通过。
报告和电子数据必须作为实验现象重复的关键依据。
学术诚信声明本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。
尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。
与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。
报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。
本人签名: 日期:年月日沈阳航空航天大学课程设计任务书目录1 引言 01.1出租车计价器概述 01.2计价器整体功能描述结构 01.3各部分电路功能描述 (1)2 计价器硬件设计 (1)2.1系统的硬件构成及功能 (1)2.2MCS-51系列单片机内部结构及功能部件 (3)2.2.1MCS-51系列单片机的内部结构框图 (3)2.2.2单片机外部引脚说明 (4)2.2.3并行输入/输出接口 (6)3 系统的软件设计 (6)3.1软件总体设计 (6)3.2系统主程序设计 (8)3.3显示子程序服务程序 (9)3.4按键服务程序 (9)4 系统调试与测试结果分析 (10)4.1系统调试 (10)4.1.1硬件调试 (10)4.1.2软件调试 (10)4.1.3软硬件联调 (10)4.2电路图 (11)参考文献 (10)附录(关键部分程序清单) (13)1 引言1.1 出租车计价器概述计价器显示的营运金额是营运里程与价格的函数(等候时间一般折算成一定比例的里程来计算)。
出租车计费器课程设计
出租车计费器课程设计引言出租车计费器是出租车行业中非常重要的设备之一,它可以根据乘客的行程信息和里程数自动计算车费,提供准确且公正的价格。
为了确保出租车计费器的正确使用,需要设计一个相应的课程来教导司机正确操作和了解计费器的原理。
基本原理介绍出租车计费器的基本原理是根据行程里程和时间来计算车费。
我们在课程设计中需要首先向学员介绍计费器的基本原理,包括计费器的工作流程和计费算法。
学员需要了解如何启动计费器、输入行程信息、选择计费模式等基本操作。
计费器操作指南在这一部分,我们将详细介绍如何正确操作出租车计费器。
具体内容包括如下几个方面:启动计费器•打开计费器电源•根据屏幕提示输入车辆牌照号码•输入行程开始时间输入行程信息•选择计费模式:一般计费、夜间计费等•输入起始地和目的地的距离计费器功能•显示计费器当前状态和车费金额•提供打印车费明细的功能•支持多种计费模式的切换计费器故障处理•计费器出现故障时的应急处理方法•常见故障问题的解决办法•如何维护和保养计费器出租车计费器的标准和要求在这一部分,我们将介绍出租车计费器在使用过程中需要遵守的标准和要求。
学员需要了解相关的行业和政府规定,以保证计费的公正和规范。
计费准确性要求•计费器应按照规定的计费标准进行计费•计费器显示的车费金额应准确无误计费器合规性要求•计费器需要符合行业标准和政府规定•计费器应通过相关机构的检测和认证计费器维护和检修要求•定期对计费器进行维护和检修,确保其正常工作•计费器的故障应及时报修和处理实际操作演练为了帮助学员更好地掌握出租车计费器的操作和使用,我们将组织实际操作演练。
学员将亲自操作计费器进行计费和打印车费明细。
通过实际操作,学员将更好地理解计费器的各个功能和操作步骤。
课程总结在这一部分,我们将对整个课程进行总结和回顾。
学员将被要求回答一些相关问题,以检验他们对出租车计费器的理解和掌握程度。
同时,我们也可以提供一些额外的资料和资源,供学员进一步学习和提高。
出租车计价器-课程设计报告
软件学院课程设计报告课程题目出租车计价器班级集成13-4专业集成电路设计与集成系统学生学号指导教师(填写自己班的指导教师)年月日1.课程设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。
2.课程设计题目描述和要求2.1.课程设计题目描述①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。
②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。
③.将车费和路程显示出来,各有一位小数。
2.2.总体设计思路框架2.3.课程设计题目要求①.设计正确,方案合理。
②.程序精炼,结构清晰。
③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。
④.上机演示。
⑤.有详细的文档。
文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。
3.设计思想和设计内容3.1 出租车计费设计该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。
并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。
3.2 基本设计思想出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。
之后再根据三方面分别设计模块。
1.显示模块。
一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。
2、里程模块。
设计要求对里程计数主要分为两个方面,计数以及公里数比较。
即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。
单片机出租车计价器课程设计
单片机出租车计价器课程设计1. 引言出租车计价器是一种用于计算乘客乘坐出租车费用的设备。
它基于单片机技术,通过对里程和时间进行测量,并根据预设的费率规则计算出乘客应支付的费用。
本文将介绍一个基于单片机的出租车计价器的课程设计方案。
2. 设计目标本次课程设计旨在培养学生对单片机应用的能力,同时提升学生对实时系统和算法设计的理解。
具体设计目标如下: - 掌握单片机编程技术,包括IO口操作、定时器和中断等。
- 熟悉实时系统设计方法,能够合理利用中断处理程序。
- 理解并能够应用合适的算法进行费率计算。
3. 设计方案3.1 硬件设计本次课程设计使用一块基于XX单片机的开发板作为硬件平台。
开发板上包含了所需的IO口、定时器和显示屏等外设。
3.1.1 输入部分输入部分主要包括里程和时间两个参数。
里程通过一个旋转编码器来测量,编码器输出脉冲信号,通过外部中断来捕获脉冲数量。
时间通过一个定时器来测量,定时器每秒触发一次中断。
3.1.2 显示部分显示部分使用一个液晶显示屏来显示计算结果。
液晶显示屏通过IO口和单片机进行连接,可以显示费用、里程和时间等信息。
3.2 软件设计软件设计主要包括中断处理程序和计费算法两部分。
3.2.1 中断处理程序中断处理程序主要用于捕获里程和时间的输入,并进行相应的处理。
当旋转编码器触发外部中断时,中断处理程序会读取编码器的状态并更新里程值。
当定时器触发中断时,中断处理程序会更新时间值。
3.2.2 计费算法计费算法根据预设的费率规则计算乘客应支付的费用。
一般而言,出租车计价规则包括起步价、里程单价和时间单价等参数。
根据这些参数和输入的里程和时间,计费算法可以准确地计算出乘客应支付的费用。
3.3 系统测试设计完成后,需要对系统进行测试以验证其功能正确性和稳定性。
测试可以分为硬件测试和软件测试两个部分。
3.3.1 硬件测试硬件测试主要包括对旋转编码器、定时器和液晶显示屏等外设的功能测试。
电子技术课程设计--出租车里程计价器
1设计任务描述1.1 设计题目:出租车里程计价器1.2 设计要求1.2.1 设计目的(1) 掌握出租车里程计价器的构成、原理与设计方法;(2) 熟悉集成电路的使用方法。
1.2.2 基本要求(1) 设计秒脉冲产生信号;(2) 行驶里程信号用传感器产生,假设车轮每转一圈为2米。
出租车起价费为3公里8元,此后为每500米加收1元;(3) 等候时间为15分钟计价器加收1元,等候时间信号由时钟产生;(4) 计价表的计数、寄存、译码显示系统。
1.2.3 发挥部分(1) 里程数的计数、寄存、译码显示系统;(2) 白天、晚上的转换,晚上为3公里10元,此后为每450米加收1元;(3) 等待时间每隔15分钟产生一报警信号,扬声器工作。
2 设计思路拿到课程设计的题目——出租车里程计价器,首先想到的就是自己乘坐出租车的经历,结合一年来对数字电子和模拟电子知识的学习,我的基本设计思路如下:由安装在车轮上的传感器产生信号,经过555施密特触发器整形,用74LS390设计一50进制计数器,即产生0.1公里信号,计数最小单位为0.1公里,最大显示99.9公里。
计价电路分为白天和夜间两部分,白天是325分频,计数器预置9元,夜间是275分频,计数器预置10元。
为实现三公里之内计价器保持预置数值不加钱,通过一比较器和或门从公里计数器得到高电平控制价钱计数器的使能端。
三公里之后计价器开始工作,计数、译码、显示乘车费用。
设计中还增加了等候时间显示电路,由555多谐振荡器产生1000赫兹信号分频之后就有秒脉冲信号。
当乘客下车需要等待时,使多谐振荡器开始工作,显示等待时间,十五分钟之后,经555单稳态触发器和振荡器驱动扬声器工作,以提醒司机和乘客,等待时间已经达到15分钟。
设计中不仅满足了出租车计价器的基本要求,加入的发挥部分也使得整个设计更加合理。
另外当换乘下一位乘客时,所有计数器的清零端(需预置的计数器的预置端)统一清零,然后进行下一步的工作。
EDA课程设计-简易出租车计价器设计
EDA 课程设计[RTX于2012/2/22]简易出租车计价器设计要求:●计价器按1.2元/公里计费,超过10公里后,则按1.8元/公里收费。
●起步价6元(3公里),超过3公里后,计价累加0.6元,10公里内以后每过0.5公里累加0.6元。
●过10公里后, 计价累加0.9元, 以后每过0.5公里累加0.9元。
●公里数4位数字显示,精确到0.1公里; 出租车计价4位数字显示,精确到0.1元。
●即:一.设计方案二.硬件部分:(1)硬件环境: 东南大学SE-5型EDA试验箱,其中核心元件是Altera公司的EPF10K10LC84-4):三.软件部分:(1)软件环境: Altera公司的MAX+plusII(2)程序源代码:1.顶层设计:2.各元件源代码:元件kms2money--kms2money.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;--0.1公里表示为1, 0.1元表示为1entity kms2money isport( rst, clk, enf, dispclk :in std_logic;data4 :out std_logic_vector(3 downto 0);ctl8 :out std_logic_vector(7 downto 0));--data4 :按dispclk的上升沿周期性的依次输出kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0--ctl8 :分别为控制动态扫描显示的8个LED的使能端,高电平使能end kms2money;architecture art_kms2money of kms2money issignal kms3,kms2,kms1,kms0:std_logic_vector(3 downto 0);--公里百位,十位,个位,十分位signal mon3,mon2,mon1,mon0:std_logic_vector(3 downto 0);--金额百位,十位,个位,十分位begin------------------------------------------------------------------------------------------------------ dynctl:process(dispclk,kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0) --动态显示--dispclk上升沿依次来临时data4依次为kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0,周而复始variable times:std_logic_vector(2 downto 0); --3位可表征8种状态,非'0'且非'1'除外beginif dispclk'event and dispclk='1' thentimes:=times+1;case times iswhen "000"=> data4<=kms3; ctl8<="10000000";--使能左起第1位LEDwhen "001"=> data4<=kms2; ctl8<="01000000";--使能左起第2位LEDwhen "010"=> data4<=kms1; ctl8<="00100000";--使能左起第3位LEDwhen "011"=> data4<=kms0; ctl8<="00010000";--使能左起第4位LEDwhen "100"=> data4<=mon3; ctl8<="00001000";--使能左起第5位LEDwhen "101"=> data4<=mon2; ctl8<="00000100";--使能左起第6位LEDwhen "110"=> data4<=mon1; ctl8<="00000010";--使能左起第7位LEDwhen "111"=> data4<=mon0; ctl8<="00000001";--使能左起第8位LEDwhen others=> data4<="ZZZZ";ctl8<="00000000";--无效为高阻都不选中end case;end if;end process;------------------------------------------------------------------------------------------------------ kmsdisp:process(rst,clk) --clk每来一次上升沿认为公里数加0.1公里,即加1beginif rst='1' then kms3<="0000";kms2<="0000";kms1<="0000";kms0<="0000";--初始数据elsif clk'event and clk='1' thenif enf='1' thenif kms0="1001" then --按十进制相加规律加1kms0<="0000";if kms1="1001" thenkms1<="0000";if kms2="1001" thenkms2<="0000";if kms3="1001" then kms3<="0000";else kms3<=kms3+1;end if;else kms2<=kms2+1;end if;else kms1<=kms1+1;end if;else kms0<=kms0+1;end if;end if;end if;end process;------------------------------------------------------------------------------------------------------ mondisp:process(rst,clk)variable kms: std_logic_vector(15 downto 0); --公里数的十六进制值或二进制值variable times:std_logic_vector(2 downto 0);--记录clk上升沿的次数,即有几个0.1公里beginif rst='1'then mon3<="0000";mon2<="0000";mon1<="0110";mon0<="0000";kms:=(others=>'0');times:="000";elsif clk'event and clk='1' thenif enf='1' thentimes:=times+1;if times=1 thenkms:=kms+5; --kms可能为0,5,10,..,6,65,...(5的倍数)--kms+1(0.1公里)与kms+5在价格的角度上看是等价的--XXX.1-XXX.5公里均按XXX.5公里看待,XXX.6-XXX.9公里均按(XXX.9+0.1)看待If kms<=30 then mon3<="0000";mon2<="0000";mon1<="0110";mon0<="0000";--不超过3公里,收起步价6.0元elsif kms<=100 then --超过3公里不超过10公里,每0.5公里金额加0.6元;--------------------------------------------------------if mon0>3 then --按十进制相加规律加6mon0<=mon0-4; --XXX4-XXX9加6后为YZW0-YZW5if mon1="1001" thenmon1<="0000";if mon2="1001" thenmon2<="0000";if mon3="1001" then mon3<="0000";else mon3<=mon3+1;end if;else mon2<=mon2+1;end if;else mon1<=mon1+1;end if;else mon0<=mon0+6; --XXX0-XXX3加6后为XXX6-XXX9end if;--------------------------------------------------------else --超过10公里,每0.5公里金额加0.9元;--------------------------------------------------------if mon0>0 then --按十进制相加规律加9mon0<=mon0-1; --XXX1-XXX9加9后为YZW0-YZW8if mon1="1001" thenmon1<="0000";if mon2="1001" thenmon2<="0000";if mon3="1001" then mon3<="0000";else mon3<=mon3+1;end if;else mon2<=mon2+1;end if;else mon1<=mon1+1;end if;else mon0<=mon0+9; --XXX0加9后为XXX9end if;--------------------------------------------------------end if;elsif times=5 then times:="000";--每计数5次clk就重新计数以便下次"循环"使用--times=0,2,3,4时参数不变,而只在times=1时一笔带过,被包含了.times=0与times=5是等效的end if;end if;end if;end process;------------------------------------------------------------------------------------------------------end art_kms2money;3.元件seg7bcd--seg7bcd.vhdlibrary ieee;use ieee.std_logic_1164.all;entity seg7BCD isport( turn_on :in std_logic;x :in std_logic_vector(3 downto 0);y :out std_logic_vector(6 downto 0));--声明:-- 共阴极7段显示-- turn_on :显示使能开关,高电平有效-- x :4位BCD码,x(3)为最高位,x(0)为最低位-- y :7段显示码,y(6)..y(0)对应g f e d c b aend seg7BCD;architecture art_seg7BCD of seg7BCD isbeginprocess(x,turn_on)beginif turn_on='1' thencase x is --"gfedcba"when "0000"=>y<="0111111";--0when "0001"=>y<="0000110";--1when "0010"=>y<="1011011";--2when "0011"=>y<="1001111";--3when "0100"=>y<="1100110";--4when "0101"=>y<="1101101";--5when "0110"=>y<="1111101";--6when "0111"=>y<="0000111";--7when "1000"=>y<="1111111";--8when "1001"=>y<="1101111";--9when others=>y<="ZZZZZZZ";--无效end case;else y<="0000000";--熄灭end if;end process;end art_seg7BCD;3.仿真结果4.管脚绑定Port Num Name---------------------------------------- [+]LedEN[7..0]LedEN7 80LedEN6 81LedEN5 83LedEN4 3LedEN3 72LedEN2 73LedEN1 78LedEN0 79---------------------------------------- [+]g2a[6..0]g2a6 6 gg2a5 7 fg2a4 8 eg2a3 9 dg2a2 10 cg2a1 11 bg2a0 16 a---------------------------------------- [+]inputCLK 1 CP1dispCLK 43 CP2RST 28 K1nPause 29 K25.下载程序---------------------------------------------------------------------------------------------------------------------- 本程序十分简易,仅供交流与学习。
数电课设:出租车计价器
目录一、出租车计价器的设计要求 (4)1.1题目要求及分析 (4)1.2确定合理的总体方案 (4)二、出租车计价器的组成框图 (5)2.1框图 (6)2.2原理 (6)三、组成部分介绍 (6)3.1计数器模块 (6)3.2显示模块 (7)3.3加法器模块 (8)四、总电路图 (8)五、课程设计总结 (10)一、出租车计价器的设计要求1.1题目要求及分析:设计一个出租车计价器,具体要求为:①5km起计价,起始价5元,每公里1.2元。
②传感器输出脉冲为0.5km/个。
③每0.5km改变一次显示,且提前显示(只显示钱数)。
题目分析:首先里程传感器每0.5km/个输出一个脉冲信号。
则对此脉冲信号进行计数,并显示计费5元,当行程5千米时,每发出一个脉冲时在5元的基础上家0.6元,同时将费用同样以计数器的形式加到总费用上,在行车时上述过程安行程反复的进行。
1.2 确定合理的总体方案一、设计方案:本设计方案分成计数器模块、显示模块、加法器模块来设计,每个模块独立设计。
①计数器模块设计:计数器模块利用1个74160进行计数分频,使10个信号产生1个脉冲,并锁存在“1”状态,使以后的脉冲在加法器产生信号,并向显示器发送信号。
根据模块实现的功能我采用了一个74160计数器,和一个SR锁存器及一个与门。
②加法器模块设计:加法器模块是用信号产生的6,利用74283加法器循环加6器,使他接受每个信号后都加6,并且当输出大于10的时候向上进,但保留个位,并输出到显示器.此模块用两个74283加法器和一个显示器及或非门、与门等。
这里一个加法器是用来实现减10功能的。
③ 显示模块设计:显示功能模块开启后就显示5元,然后没每来一个信号在5 元的基础上加0.6元。
这个模块的个位和十位用十进制计数器完成,小数点后由加法器实现。
二、出租车计价器的组成框图2.1框图62.1、设计原理:使用中、小规模集成电路来设计来设计逻辑电路,并按需求选用逻辑门;用十进制SR锁存器构成技术模块,以及有显示模块,用加法器实现循环加6的功能,最后按要求将这些模块连接起来。
出租车计价套件课程设计
出租车计价套件课程设计一、课程目标知识目标:1. 学生理解出租车计价的基本原理和构成要素,掌握计价器的功能与操作方法。
2. 学生能够运用数学知识,结合实际情境进行出租车费用的计算。
3. 学生了解出租车行业的相关法规和收费标准,提高对现实生活中数学应用的认识。
技能目标:1. 学生通过实际操作,培养解决问题的能力和团队协作能力。
2. 学生掌握运用计算器或电子设备进行出租车计价的技巧,提高计算速度和准确性。
3. 学生能够运用图表、文字等形式,清晰、有条理地表达计价过程和结果。
情感态度价值观目标:1. 学生在学习过程中,培养对数学学科的兴趣和热爱,增强自信心。
2. 学生通过探讨出租车计价问题,提高对公平正义和社会责任感的价值观念。
3. 学生在小组讨论和互动中,学会尊重他人意见,培养良好的沟通能力和团队协作精神。
课程性质:本课程为小学高年级数学实践活动课程,结合生活实际,引导学生运用所学知识解决现实问题。
学生特点:小学高年级学生具有一定的数学基础和解决问题的能力,对新鲜事物充满好奇,善于合作与交流。
教学要求:教师应注重理论与实践相结合,引导学生通过自主探究、小组合作等方式,达到课程目标。
在教学过程中,关注学生个体差异,鼓励学生积极参与,充分调动学生的主观能动性。
同时,对课程目标进行细化分解,为教学设计和评估提供具体依据。
二、教学内容本课程以小学数学教材中“计量单位与计价”章节为基础,结合实际生活情境,选取以下教学内容:1. 计量单位换算:千米与米、元与角、分之间的换算关系。
2. 出租车计价原理:时间计费、里程计费、夜间加价等计价方式的了解与运用。
3. 实际案例分析与计算:结合教材案例,设计实际出租车行驶情境,引导学生进行计价计算。
4. 出租车计价器操作:学习电子计价器的使用方法,掌握计价过程的操作技巧。
教学大纲安排如下:第一课时:复习计量单位换算,导入出租车计价原理。
第二课时:学习时间计费、里程计费等计价方式,进行实际案例分析。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
摘要本设计是一种基于单片机STC89C52出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。
复位电路是单片机初始化操作,除了正常初始化外,为挣脱困境,通过复位电路可以重新开始。
时钟电路采用12MHz晶振,作为系统时钟源,具备较高精确性。
在上电时字符型液晶1602显示最初起步价,里程收费,等待时间收费三种收费,通过按键可以调节起步价,里程收费,等待时间收费。
通过按键模仿出租车运营,暂停,停止。
在1602液晶上可以显示运营时间,运营时暂停时间,通过计算可以得出总共费用和总路程。
在这里重要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。
核心字 STC89C52;1602液晶;出租车计费器第一章绪论1.1 出租车计价器概述国内在70年代开始浮现出租车,但那时计费系统大都是国外进口不但不够精确,价格还十分昂贵。
随着改革开放日益进一步,出租车行业发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。
出租车计价器功能从刚开始只显示路程(需要司机自己定价,计算后四舍五入),到可以自主计费,以及当前可以打一发票和语音提示、准时间自主变动单价等功能。
随着都市旅游业发展,出租车行业已成为都市窗口,象征着一种都市文明限度。
本次设计目在于当前各大中都市出租车行业都已普及自动计价器,因此计价器技术发展已成定局。
而某些小都市尚未普及,但随着都市建设日益加快,象征着都市面貌出租车行业也将加速发展,计价器普及也是毫无疑问,因此将来汽车计价器市场还是十分有潜力。
1.2 单片机概述计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。
巨型化发展目在于不断提高计算机运算速度和解决能力,以解决复杂系记录算和高速数据解决,例如系统仿真和模仿、实时运算和解决。
单片化是把计算机系统尽量集成在一块半导体芯片上,其目在于计算机微型化和提高系统可靠性,这种单片计算简称单片机。
单片机内部硬件构造和指令系统重要是针对自动控制应用而设计因此单片机又称微控制器MCU(Micro Controller Unit)。
用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因而单片机又叫做嵌入式微控制器(Embedded MCU)。
单片机自20世纪70年代问世以来,以其鲜明特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,通过30近年发展,性能不断提高,品种不断丰富,已经形成自动控制一支中坚力量。
据记录,国内单片机年容量已达1~3亿片,且每年以大概16%速度增长,但相对于国际市场国内占有率还不到1%。
这阐明单片机应用在国内有着辽阔前景。
对于从事自动控制技术人员来讲,掌握单片机原理及其应用已经成为必不可少学习任务。
单片机应用十分广泛,在工业控制领域、家电产品、智能化仪器仪表、计算机外部设备,特别是机电一体化产品中,均有重要用途。
其重要用途可以分为如下方面。
●显示:通过单片机控制发光二极管或是液晶,显示特定图形和字符。
●机电控制:用单片机控制机电产品做定期或定向动作。
●检测:通过单片机和传感器联合使用,用来检测产品或者工况意外发生。
●通信:通过RS-232串行通信或者是USB通信,传播数据和信号。
●科学计算:用来实现简朴算法。
那么单片机是不是解决上述应用唯一选取呢?固然不是!单片机最明显长处是价格便宜,从几元人民币到几十元人民币。
这是由于此类芯片生产量很大,技术也很成熟。
另一方面,单片机体积也远不大于其她两种方案。
单片机自身普通用40引脚封装,固然功能多某些单片机也有引脚比较多,如68引脚,功能少只有10各种或20各种引脚,有甚至只有8只引脚。
固然,单片机无论在速度还是容量方面都不大于其她两种方案,但是在实际工作中并不是任何需要计算机场合都规定计算机有很高性能。
例如,控制电冰箱控制器就不需要使用嵌入式系统,用一片51就可以轻松实现。
因此应用核心是看能否够用,与否有较好性能价格比。
51系列单片机已经面世十近年,依然没有被裁减,还在不断发展中,这就阐明是它有辽阔应用前景。
第二章系统总体设计2.1 课程设计任务基于单片机出租车模仿计价器,采用at24c02存储芯片+LCD1602液晶显示等设计而成。
用24c02来存储单价,通过按键来模仿增长里程,模仿出租车向前开。
通过液晶显示屏显示当前行驶状态、行驶公里、行驶时间时间(时、分、秒)、费用、单价、等信息。
可以设立每公里单价,以及夜间单价和白天单价不同模式,设立后掉电无需重新设立,设立有等待/继续计时模式。
计费分行走里程*单价+等待时间*价格。
2.2 课程设计方案方案一:采用数字电子技术,运用555定期芯片构成多谐振荡器,或采用外围晶振电路作为时钟脉冲信号,采用计数芯片对脉冲尽心脉冲计数和分频,最后通过译码电路对数据进行译码,将译码所得数据送给数码管显示,一下是该方案流程框图,方案一如图1.1所示:图1方案一方案二:采用EDA技术,依照层次化设计理论,该设计问题自顶向下可分为分频模块,控制模块计量模块、译码和动态扫描显示模块,其系统框图如图2所示:图2方案二方案三:采用MCU技术,通过单片机作为主控器,运用1602字符液晶作为显示电路,采用外部晶振作为时钟脉冲,通过按键可以以便调节,一下是方案三系统流程图,本方案重要是必要对于数字电路比较熟悉,成本又高。
方案图如图3所示:图3方案三方案总结:通过各个方案比较本次采用方案三,不但控制简朴,并且成本低廉,设计电路简朴。
第三章系统硬件设计3.1 振荡电路单片机内部有一种高增益、反相放大器,其输入端为芯片引脚XTAL1,其输出端为引脚XTAL2。
通过这两个引脚在芯片外并接石英晶体振荡器和两只电容(电容和普通取20pF)。
这样就构成一种稳定自激振荡器。
振荡电路脉冲通过二分频后作为系统时钟信号,再在二分频基本上三分频产生ALE信号,此时得到信号时机器周期信号。
振荡电路如图4所示:图4振荡电路3.2 复位电路设计复位操作有两种基本形式:一种是上电复位,另一种是按键复位。
按键复位具备上电复位功能外,若要复位,只要按图中RESET键,电源VCC经电阻R1、R2分压,在RESET端产生一种复位高电平。
上电复位电路规定接通电源后,通过外部电容充电来实现单片机自动复位操作。
上电瞬间RESET引脚获得高电平,随着电容充电,RERST引脚高电平将逐渐下降。
RERST引脚高电平只要能保持足够时间(2个机器周期),单片机就可以进行复位操作。
按键复位电路图如图5所示。
图5复位电路3.3 键盘接口电路(1)独立式键盘:独立式键盘中,每个按键占用一根I/O口线,每个按键电路相对独立。
I/O口通过按键与地相连,I/O口有上拉电阻,无键按下时,引脚端为高电平,有键按下时,引脚电平被拉低。
I/O口内部有上拉电阻时,外部可不接上拉电阻。
键盘接口电路如图6所示:图6键盘接口电路3.4 显示电路3.4.1 1602LCD基本参数及引脚功能1602LCD分为带背光和不带背光两种,基控制器大某些为HD44780,带背光比不带背光厚,与否带背光在应用中并无差别,两者尺寸差别如图7所示。
图7 LM016L构造图LCD1602重要技术参数:容量:16×2个字符芯片工作电压:4.5—5.5V工作电流:2.0mA(5.0V)模块最佳工作电压:5.0V字符尺寸:2.95×4.35(W×H)mm引脚功能阐明:1602LCD采用原则14脚(无背光)或16脚(带背光)接口,各引脚接口阐明如表1所示:表1引脚接口阐明表编号符号引脚阐明编号符号引脚阐明1 VSS 电源地9 D2 数据2 VDD 电源正极10 D3 数据3 VL 液晶显示偏压11 D4 数据4 RS 数据/命令选取12 D5 数据5 R/W 读/写选取13 D6 数据6 E 使能信号14 D7 数据7 D0 数据15 BLA 背光源正极8 D1 数据16 BLK 背光源负极第2脚:VDD接5V正电源。
第3脚:VL为液晶显示屏对比度调节端,接正电源时对比度最弱,接地时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一种10K电位器调节对比度。
第4脚:RS为寄存器选取,高电平时选取数据寄存器、低电平时选取指令寄存器。
第5脚:R/W为读写信号线,高电平时进行读操作,低电平时进行写操作。
当RS和R/W共同为低电平时可以写入指令或者显示地址,当RS为低电平R/W 为高电平时可以读忙信号,当RS为高电平R/W为低电平时可以写入数据。
第6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令。
第7~14脚:D0~D7为8位双向数据线。
第15脚:背光源正极。
第16脚:背光源负极。
3.4.2 显示模块采用1602液晶显示接口电路图8显示电路3.5 单片机各引脚功能阐明下面对STC89C52各引脚功能进行较为详细简介:1)电源引脚Vcc和VssVcc(40脚):电源端为+5V Vss(20脚):接地端。
2)时钟电路引脚XTAL1和XTAL2XTAL2(18脚):接外部晶体和微调电容一端。
在单片机内部它是振荡电路反向放大器输出端,振荡电路频率就是晶体固有频率。
若需采用外部时针电路时,该引脚输入外时钟脉冲。
要检查89C52振荡电路与否正常工作,可用示波器查看XTAL2端与否有脉冲信号输出。
XTAL1(19脚):接外部晶体和微调电容另一端。
在片内,它是振荡电路反向放大器输入端。
在采用外部时钟时,该引脚必要接地。
3)控制信号脚 RST ALE PSEN 和EA。
RST(9脚):RST是复位信号输入端,高电平有效。
在此输入端保持两个机器周期(24个时钟振荡周期)高电平时,就可以完毕复位操作。
ALE/PROG(30引脚):地址锁存容许信号端。
当STC89C52上电正常工作后,ALE 引脚不断向外输出正脉冲信号。
此频率为振荡器频率fosc1/6,当CPU访问片外存储器时,ALE输出信号作为锁存低8位地址控制信号。
在CPU访问片外数据存储时,每取值一次(一种机器周期)会丢失一种脉冲。
平时不访问片外存储时,ALE端也以1/6振荡频率固定输出正脉冲,因而ALE信号可以用作对外输出时钟或定期信号。
如果你想看一下STC89C52芯片好坏,可用示波器查看ALE端与否有脉冲信号输出,如有脉冲信号输出,则STC89C52基本上是好。
ALE负载驱动能力为8个LS型TTL(低功耗高速TTL)。
PSEN(29脚);程序存储容许输出信号引脚,在访问片外程序存储器时,此端定期输出负脉冲作为读片外存储器选通信号。
此引脚接ERROMOE端。
PSEN端有效,即容许读出ERROM/ROM中指令码。
CPU在从外部ERROM/ROM取指令期间,每个周期PSEN两次有效。
但是,在访问片外RAM时,要少产生两次PSEN负脉冲信号。