利用拨码开关控制米字管进行字母显示

合集下载

基于某“米”字型字符管地趣味显示器设计

基于某“米”字型字符管地趣味显示器设计

电子综合设计题目趣味显示器设计--硬件设计学院计算机与信息工程学院专业电子信息工程班级学生指导教师2012 年10 月18 日趣味显示器设计一、设计目的电子综合设计是在学完《模拟电子技术基础》和《数字电子技术基础》的课程之后,安排的教学与生产实际相结合的重要实践教学环节。

在电子综合设计的过程中,使学生能综合运用自己的所学知识,从而培养学生观察问题、解决问题的能力。

为今后的毕业设计、工程实践打下一定的基础。

通过完成一个课题的电路设计、理论分析计算和实验调试任务,巩固和加深电子技术课程中所学的理论知识和实验技能。

本次的课程设计,主要完成数字电路实现对“米”字符显示器的控制,掌握集成数字芯片的使用方法和“米”字符显示管的使用。

通过实现对“米”字符显示管的控制,进一步了解基本数字电路的控制原理,并且掌握对简单实用电路的分析方法和工程设计方法。

二、设计要求1、设计题目:趣味显示器设计。

2、基本功能:使用“米”字符显示管设计一个能控制8个“米”字符显示管的显示器,要求能控制形成形成多种字母和数字。

3、扩展功能:通过调节电位器的数值可以调节显示器的显示频率和实现显示器的字符可调节功能。

4、要求独立查阅相关资料,进行理论设计、元器件选择。

5、要求独立进行印刷线路板的组装、焊接、调试,并实现基本功能。

三、设计工具四、整体设计1、资料查阅趣味显示器主要分为显示部分和数字控制部分,显示器采用多个“米”字符显示管来实现显示功能,“米”字符显示管共有16段笔画(A-P),用它可以显示很多字符和数字,比如26个英文字母、阿拉伯数字等等简单字符,不仅如此,在活用了“米”字符按显示管后可以扩展显示许多字母字型。

2、设计思路由于用多段“米”字符显示管来显示时,需要动态扫描每一个“米”字符显示器。

这时就需要采用计数器芯片来实现,然而又考虑到计数器工作时需要有时钟信号来控制,这时就需要设计一个震荡电路来产生时钟信号。

当计数器工作后,每输出一个高电平片选就将一个“米”字型字符管点亮,而每一个“米”字型字符管所显示的字符采用拨码开关来做未选或用二极管锁存位信号。

车位引导系统技术规范书

车位引导系统技术规范书

停车场管理系统与车位引导系统技术规范书2011年8月目录1.1概述 (3)1.2停车场子系统结构 (3)1.3停车场子系统功能要求 (3)1.4系统软件功能要求 (4)1.5停车场设备技术要求 (4)1.6车位引导 (7)1.7停车区域引导及车位引导设备技术要求 (7)1.7.1区域/车位引导中央控制器 (7)1.7.2车位引导区域管理器 (8)1.7.3超声波车位探测器 (9)1.7.4车辆停放引导LED屏 (10)1.7.5区域及车位引导软件 (10)1.1 概述停车场管理系统是安全防范系统的一个子系统,完成对地下车库的车辆停放的记录、控制和管理的功能。

本项目采用一卡通感应式卡,卡片分为临时卡与月卡两种,月卡应用于内部固定员工,不进行收费;而临时卡则对外使用,在出口收费。

要求系统自成网络,独立运行,但能向管理中心提供决策所需的主要信息。

1.2 停车场子系统结构停车场管理系统由系统工作站(停车场管理、监控软件载体)、停车场管理系统执行单元(出入口控制器及其下属动作单元)两大部分组成。

可完成数据的采集、存储、交换及处理,并提供其他管理系统所必需的数据。

停车场出入口处的基本设备为:中文电子显示屏(指示车位分布信息等)、入口彩色摄像机、防砸车控制器、入口电动路闸、车辆感应器,显示、站场图像信息的采集、自动路闸的起落控制并保持与管理主机的实时通讯。

在停车场的出入口设立车辆会车红绿指示灯。

对车辆进出停车场进行引导管理。

当入场车辆先到时,提示入场车等候。

1.3 停车场子系统功能要求当车位没有空余时显示至入口处满位显示屏。

自动控制出入口闸杆机挡杆,车辆出入的检测与控制通过检测环行感应线圈方式进行。

在各出口收费处加装羊城通读卡器,在主控电脑安装相应软件,方便临时用户交费。

在停车场的每个出口安装一个羊城通收费读卡器。

临时卡车辆在出口刷卡时,系统将统计停车时间与费用,并通过票箱上的显示屏提示交费金额,同时,系统将会把应收金额发送到羊城通读卡器上。

米自管

米自管

第一部分实验开发系统硬件介绍一、实验系统概述:此系列实验系统针对数字电路设计CPLD/FPGA及模拟电路设计技术有一总体上的概念。

其中II、III型均为数字电路设计实验开发系统,IV、V型为数、模混合可编程器件实验开发系统。

这些系列设备都能不同程度地满足高校的现代电子技术EDA教学和数字电路及其它相关实验课程的要求。

由于可编程器件的设计灵活性,其系统更能满足高校的相关课程设计,毕业设计及大学生电子设计竞赛等。

对于程度较高的同学,本系统极其丰富的功能单元和可搭接的灵活性,使他们完全能够做出超出大纲要求的具有复杂性和创造性的综合实验。

同时该系统也是从事教学及科研的广大教师和电子工程师的理想开发工具。

希望读者能从中得到裨益,并提出宝贵的改进意见。

二、配套软件:Max+plus II 10.0基础版(商业版见报价单及相关资料说明)1.运行环境Win95/98/20002.层次化设计支持3.原理图输入支持4.文本输入支持5.AHDL输入支持6.VHDL输入支持7.原理图设计宏库基本库8.仿真和时序分析支持9.逻辑综合支持10. 硬件编程/下载支持11.支持芯片Max7000全系列(如7000A、7000B、7000E、7000S等)和Max9000系列等Flex6000、8000、10K(如10K系列的10K10、20、30、50、10K100等)、10KE系列等三、系统硬件组成:(一)、II、III型实验箱结构组成:1.CPLD/FPGA适配器板:标准配置是本公司的EPF10k10或MAX7128接口板。

主要负责整个系统与不同公司不同类型的芯片实现通讯,下载接口是数字芯片的下载接口(DIGITAL JTAG),主要用于CPLD/FPGA芯片的数据下载。

该适配器板目前我公司提供有Altera、Lattice、Xilinx公司的不同门数的芯片。

也可根据客户需求专门订做。

2.扫描驱动类接口:1)8位八段数码管显示输出。

百科融创EDAIV实验指导书

百科融创EDAIV实验指导书

目录目录.............................................................................. 第一部分 EDA(CPLD/FPGA)技术概述.. 0第三部分 QUARTUSII 开发工具的基本操作 (14)第四部分:基础实验 (30)【实验01】组合电路 (30)【实验02】扫描显示电路实验 (35)【实验03】七人表决器 (38)【实验04】格雷码变换 (39)【实验05】四位全加器 (41)【实验06】多路选择器 (43)【实验07】四位并行乘法器 (44)【实验08】设计基本触发器 (45)【实验09】触发器功能模拟 (47)【实验10】设计74LS169计数器功能模块 (50)【实验11】步长可变的加减计数器 (52)【实验12】计数器及时序电路 (53)【实验13】数控分频器 (58)【实验14】可控脉冲发生器 (62)【实验15】正负脉宽数控调制信号发生器 (64)【实验16】四位并行流水乘法器 (65)第五部分:综合实验 (67)【实验01】矩阵键盘控制接口设计实验 (67)【实验02】电子色子游戏机设计实验 (70)【实验03】数字时钟设计实验 (72)【实验04】秒表设计实验 (75)【实验05】VGA显示接口设计实验(VGA彩条信号发生器) (78)【实验06】PS/2键盘接口设计实验 (81)【实验07】16×16点阵汉字显示设计实验 (84)【实验08】液晶显示与应用设计实验 (87)【实验09】串行AD数据采集与显示设计实验 (89)【实验10】数字电压表设计实验(并行AD数据采集与显示) (91)【实验11】简易函数信号发生器设计实验(并行DA转换) (94)【实验12】波形发生与扫频信号发生器设计实验(串行DA) (96)【实验13】硬件电子琴电路设计实验 (99)【实验14】乐曲自动演奏与硬件电子琴设计实验 (102)附录 I——核心板硬件资源连接 (104)第一部分 EDA(CPLD/FPGA)技术概述电子设计自动化(EDA)技术是以计算机科学和微电子技术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构、计算数学等多种计算机应用学科的最新技术成果,在先进的计算机工作平台上开发出来的一整套电子系统设计的软件工具。

1p拨码开关工作原理

1p拨码开关工作原理

1p拨码开关工作原理
1p拨码开关是一种常见的电子开关设备,可以用于控制电路
中的信号通断。

它由一个可以切换的机械结构组成,内部包含一组固定的触点和可移动的连接片。

以下是1p拨码开关的工
作原理:
1. 切换状态:1p拨码开关有两个稳定的状态,分别为打开和
关闭状态。

通过手动拨动开关把连接片移动到不同的触点上,可以改变开关的状态。

2. 连接断开:当开关处于关闭状态时,连接片与触点相连,电路中的信号可以通过开关流通。

此时,连接片和触点形成一条电路,信号可以顺畅传递。

3. 连接闭合:当开关处于打开状态时,连接片与触点断开连接,电路中的信号无法通过开关。

此时,连接片和触点之间断开,信号无法流通。

4. 其他功能:除了开关的基本功能外,1p拨码开关可能还具
备其他附加功能,例如锁定、递推、跳线等。

这些功能可以根据具体的开关设计而不同。

总体来说,1p拨码开关通过机械操作将连接片移动到不同的
触点上,实现电路中信号的连接断开,从而控制电路的通断。

这种开关结构简单可靠,常用于电子设备和电路板上。

可编程序控制器及应用智慧树知到期末考试章节课后题库2024年潍坊技术中等专业学校

可编程序控制器及应用智慧树知到期末考试章节课后题库2024年潍坊技术中等专业学校

可编程序控制器及应用智慧树知到期末考试答案章节题库2024年潍坊技术中等专业学校1.FX系列PLC中作报警器用的状态元件是S000~S999()答案:错2.三菱PLC中,指令DI表示禁止中断。

()答案:对3.输出类元件(OUT、SET、RST、PLS、PLF和大多数应用指令)应放在梯形图的最右边。

()答案:对4.PLC输出元件被封装印制电路板上,连接至端子板,若将连接输出元件负载短路,将烧毁印制电路板,应用熔丝保护输出元件。

()答案:对5.RS-232串行通信接口使用的是正逻辑。

()答案:错6.大型PLC系统多采用模块式结构。

()答案:对7.在选择PLC时,要根据输入信号的类型选择与之相匹配的输入模块。

()答案:对8.FX系列PLC可进行间接寻址的存储器是X、Y、M、S、T、C、D及P。

()答案:对9.PLC不具备数据处理能力,只能进行逻辑控制。

()答案:错10.梯形图程序指令助记符和操作数组成。

()答案:对11.在实现不同的控制任务时,PLC具有良好的通用性,相同硬件构成的PLC,利用不同的软件可以实现不同的控制任务。

()答案:对12.位组合元件是一种字元件。

()答案:对13.与主控触点相连的触点必须使用LD或LDI指令。

()答案:对14.C0-C99是FX系列PLC中中的16位减计数器。

()答案:错15.ROL是用来对[D]中的数据以n位为单位进行循环左移()答案:对16.PLC供电系统的可靠性和抗干扰能力要求较高,下列电源适合的是()。

答案:UPS###隔离变压器###交流稳压器17.三菱FX系列PLC内部定时器,定时的时间单位有()。

答案:0.1S###0.01s###0.001S18.FX系列PLC中,16位乘法指令应用()。

答案:MUL###MULP19.PLC 的安装场所应远离强干扰源,在有()的地方需要考虑屏蔽措施。

答案:静电干扰###放射性###电场强度很强20.现代PLC的主要特点包括()。

[小学]★任务四用PLC实现八段码显示控制

[小学]★任务四用PLC实现八段码显示控制

任务四用PLC实现八段码显示控制【任务目标】一、进一步熟练运用取指令LD/LDI、触点串联指令AND/ANI、触点并联指令OR/ORI、线圈输出指令OUT、程序结束指令END等基本指令进行本任务的四步法程序设计。

二、熟练应用定时器T和计数器C进行延时功能的程序设计。

三、掌握plc的编程方法、编程规则或程序设计的基本原则和步骤,通过本任务的训练逐步建立程序设计的基本思路和方法。

四、能独立、熟练完成【思考练习】的内容。

五、提高自我学习、信息处理、数字应用、解决问题等方法能力及与人交流、与人合作等社会能力;自查6S执行力。

【任务描述】专业能力训练环节一图4-1是八段数码管的外形图,它实质上是七只发光二极管组成的阿拉伯数字及数字后的小数点显示器,其工作原理见图4-2与图4-3。

下面请您按照下列要求进行plc的程序设计与调试。

图4-1 八段数码管实物外形图图4-2 八段码显示阿拉伯数字“1”、“2”、“3”的示意图F(b)(a)共阴极(b)共阳极图4-3 八段码显示电路原理图设计要求如下:请用plc实现输出控制对象----八段码显示器从0~9十个阿拉伯数字的升序连续显示,要求升序显示的阿拉伯数字间的时间间隔为1s,并且用两个按钮分别实现数字显示的启动与停止。

1)按照控制要求设计PLC的输入输出(I/O)地址分配表。

2)按照控制要求进行PLC的输入输出(I/O)接线图的设计。

3)按照控制要求进行PLC梯形图程序的设计。

4)按照控制要求进行PLC指令程序的设计。

5)按照以上四个步骤,笔试回答表4-1中所列的问题。

6)按照设计要求和笔试设计结果进行程序的模拟调试。

7)调试与笔试工时:120分钟,每超时5分钟扣5分。

8)配分:本技能项目满分为100分,比重40%,评分标准见表4-5。

专业能力训练环节二设计要求如下: 用plc 构成抢答器系统并编制控制程序。

一个四组抢答器如图4-4所示,任一组抢先按下按键后,显示器能及时显示该组的编号并使蜂鸣器发出响声,同时锁住抢答器,使其它组按下按键无效,抢答器有复位开关,复位后可以重新抢答。

PLC及其应用学习通课后章节答案期末考试题库2023年

PLC及其应用学习通课后章节答案期末考试题库2023年

PLC及其应用学习通课后章节答案期末考试题库2023年1.S7-200系列PLC的定时器按时基可分为三种,不包括()。

参考答案:1000ms2.本次学习的S7-200 SMART PLC的L+和M端之间的电压是()伏。

参考答案:DC24V3.S7-200 SMART PLC的数字量输入端可以接()、行程开关、传感器等。

参考答案:选择开关4.西门子S7-200系列的顺序控制段结束指令的操作码是()。

参考答案:SCRE5.将选择流程顺序功能图转换为梯形图时,如果某一步后面有一个由N条分支组成的选择分支,该步可能转换到不同的N步去,则应将这N个后续步对应的存储器位的常闭触点与该步的线圈串联,作为结束该步的条件。

参考答案:对6.S7-200 SMART PLC的数字量输入模块用字母()表示。

参考答案:DI7.S7-200系列PLC的顺序控制状态寄存器的地址编号范围为()。

A、 B、C、 D、参考答案:S0.0 ~ S31.78.S7-200系列PLC的计数器指令盒上有两个输入端,分别是()。

参考答案:复位端和计数输入端9.本次上课中所用的PLC采用的是()输出接口电路。

参考答案:继电器10.S7-200 SMART PLC的梯形图中输出继电器的线圈“通电”时,()。

参考答案:其常开触点闭合11.对于顺序控制继电器,下面哪个地址是错误的。

()参考答案:S1.812.加计数器的工作原理:首次扫描时,计数器位为OFF,当前值为0。

在计数器输入端CU的每一个上升沿,计数器计数一次,当前值增加一个单位。

当前值达到设定值时,计数器位为ON,当前值可继续计数到32767后停止。

复位输入阻抗端有效或对计数器执行复位指令,计数器自动复位OFF,当前值为0。

参考答案:对13.对于减计数器,当复位端(LD)有效时,计数器预置值(PV)装入当前值存储器,计数器状态位复位(置0)。

参考答案:对14.S7-200系列PLC的加计数器指令盒上有两个输入端,分别是()。

通合充电模块拨码说明

通合充电模块拨码说明

石家庄通合电子科技有限公司西南经销处137********TH系列充电模块ZTM拨码简易使用说明操作状态分为两种:信息查询和参数设置,通过拨码开关自动/手动选择。

拨到上端时代表信息查询状态,拨到下端时代表参数设置状态。

1、信息查询状态:在信息查询状态(拨码最左侧位为0)下,可以完成以下功能:ⅰ、由数码显示面板查询电压、电流信息和故障信息;ⅱ、通过拨码的分组位和地址位进行模块的地址定义。

● 数码显示面板在信息查询状态下通过数码显示面板可进行显示模块的电压、电流、告警、关机信息查询。

由显示切换按钮V/A进行显示切换。

表1 故障代码显示含义故障代码E01 E02 E04 E05 E06 E20代码含义欠压过压过压、过流保护过温保护输入异常设置拨码位超限● 模块分组识别拨码TonHe协议时,拨到上端时,模块为第1组,地址为253与255的数据包是广播数据包;拨到下端时,模块为第2组,地址为254与255的数据包是广播数据包。

MODBUS协议时,拨到上端时,模块为第1组,地址为255的数据包是广播数据包;拨到下端时,模块为第2组,模地址为254的数据包是广播数据包。

● 地址设置拨码通讯地址拨码与模块分组识别拨码共同构成模块通信地址设置拨码,用于设置模块的通信地址。

在模块上设置的通信地址为二进制数,每一位拨码拨到上端代表二进制数0,拨到下端代表二进制数1。

地址设置拨码中最右边一位为最低位,最左边一位为最高位。

模块地址设置拨码为5位,因此模块的地址设置范围为0~31,也就是说,连接到监控模块的同一个串口上的模块数最大为32个。

模块地址是监控模块识别各充电模块的唯一标志,同一系统中模块的地址设置不能相同。

对于同一个模块,模块通信地址设置必须与监控模块中的模块地址设置相同,否则将出现通信异常。

在监控模块中设置的模块地址为十进制数,他们之间的转换关系见表1-3。

表2 二进制与十进制对应关系例如:地址设置拨码处于如表1-3所示的位置(黑色为拨码位置)。

物联网PLS技术练习练习题及答案4_2023_背题版

物联网PLS技术练习练习题及答案4_2023_背题版

***************************************************************************************试题说明本套试题共包括1套试卷每题均显示答案和解析物联网PLS技术练习练习题及答案4(500题)***************************************************************************************物联网PLS技术练习练习题及答案41.[单选题]电磁式交流接触器和交流继电器的区别是( ):A)交流接触器有短路环,而继电器没有B)交流接触器有主、辅助触点之分,而继电器没有C)没有区别答案:B解析:2.[单选题]在民用建筑物的配电系统中,一般采用()断路器。

A)框架式B)电动式C)漏电保护答案:C解析:3.[单选题]ROUND取整指令是将输入的数据( )后取整。

A)四舍五入B)舍去尾数C)进1答案:A解析:4.[单选题]下列通信方式中,( )不属于S7-1200CPU的Profinet通信口支持的通信协议及服务。

A)ISOon TCP协议B)TCP协议C)Profbus DP通信 D .S7通信答案:C解析:5.[单选题]熔断器作为短路保护的电器,它是( )于被保护电路中的:A)并接B)串接解析:6.[单选题]在使用高速脉冲输出功能时,CPU模块应选择( )模式A)晶体管输出B)继电器输出C)晶闸管输出答案:A解析:7.[单选题]关于低压熔断器,正确的使用是A)同一熔断器可以配用几种不同规格的熔体,但熔体的额定电流不得超过熔断器的额定电流B)可用铜丝来代替熔丝C)可用铁丝来代替熔丝答案:A解析:8.[单选题]目前大中型PLC多采用( )结构。

A)整体式B)模块式C)板式答案:B解析:9.[单选题]下面 不是接触器的组成部分。

A)电磁机构B)触点系统C)灭弧装置D)脱扣机构答案:D解析:10.[单选题]以下哪一项不是TON定时器的引脚( )。

利用拨码开关控制数码管进行八进制数字显示

利用拨码开关控制数码管进行八进制数字显示

中北大学课程设计任务书2010/2011 学年第 二 学期学 专院 : 业:信息商务学院 电子科学与技术 贾睿智学 号:学 生 姓 名: 课程设计题目: 起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任 :08060441X29利用拨码开关控制数码管进行八进制数字显示 2011 年 5 月 30 日至 2011 年 6 月 24 日 电子科学与技术专业实验室 王红亮 段俊萍 任勇峰下达任务书日期:2011 年 5 月 30 日课 程 设 计 任 务 书1.设计目的:1.学习操作数字电路设计实验开发系统,掌握数码管的工作原理及应用。

2.掌握组合逻辑电路、时序逻辑电路的设计方法。

3.学习掌握可编程器件设计的全过程。

2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :1.学习掌握拨码开关控制模块、数码管的工作原理及应用; 2. 熟练掌握 VHDL 编程语言,编写拨码开关控制模块的控制逻辑; 3. 仿真所编写的程序,模拟验证所编写的模块功能; 4. 下载程序到芯片中,硬件验证所设置的功能,能够实现八进制数字的显示; 5. 整理设计内容,编写设计说明书。

3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、 图纸、实物样品等〕 :1.本课程设计说明书。

2.VHDL 源程序及内部原理图。

3.该设计可以在实验箱上正常工作并演示。

课 程 设 计 任 务 书4.主要参考文献:1.侯伯亨,顾新.VHDL 硬件描述语言与数字逻辑电路设计. 西安:西安电子 科技大学出版社,1999 2. 求是科技.CPLD/FPGA 应用开发技术与工程实践. 北京: 人民邮电出版社, 2005 3.罗苑棠.CPLD/FPGA 常用模块与综合系统设计实例精讲. 北京:电子工业出版 社,2007 4.任勇峰,庄新敏.VHDL 与硬件实现速成.北京:国防工业出版社,20055.设计成果形式及要求:提交内容:课程设计说明书(VHDL 程序、仿真过程及结果要在设计说明书详细说 明) 。

实验四 指示灯和拨码开关控制

实验四 指示灯和拨码开关控制
*init = 0; }
//定义指示灯控制寄存器地址和寄存器类型
#define LBDS (*((unsigned int *)0x400001))
//定义拨码开关控制寄存器地址和寄存器类型
#define DIPS (*(unsigned int *)0x400002)
main()
{
//PLL_Init(12);//初始化DSP运行时钟
//ioport unsigned int *ce12 =(unsigned int *)0x807; //ioport unsigned int *ce13 =(unsigned int *)0x808;
ioport unsigned int *ce21 =(unsigned int *)0x809; //片选2空间控制寄存器1
-与ICETEK-VC5509-A评估板连接的ICETEK-CTR显示控制模块也使用扩展空间控制主
要设备:
602800-602800h: 读-键盘扫描值,写-液晶控制寄存器
600801-600801h: 液晶辅助控制寄存器
602801h、600802h: 液晶显示数据寄存器
602802-602802h: 发光二极管显示阵列控制寄存器
//ioport unsigned int *ce32 =(unsigned int *)0x80D; //ioport unsigned int *ce33 =(unsigned int *)0x80E;
ioport unsigned int *sdc1 =(unsigned int *)0x80F; //sdram控制寄存器1
//ioport unsigned int *ce02 =(unsigned int *)0x804; //ioport unsigned int *ce03 =(unsigned int *)0x805;

河北工业大学 单片机 滚动显示字符串

河北工业大学 单片机 滚动显示字符串

河北工业大学计算机硬件技术基础(MCS-51单片机原理及应用)课程设计任务书学院班级设计人成绩设计题目利用单片机滚动显示字符“0123456789AbCdEF”二.问题的提出:在单片机的LED显示器上面滚动显示题目要求的字符串。

并且可以利用外部中断进行滚动的启停。

三.目的与要求1.目的:培养学生综合利用单片机进行程序和硬件设计的能力,主要是培养学生根据要求正确编写程序和进行外部连线。

2.基本要求:(1)能够正确掌握中断和定时器/计数器的使用;(2)能够正确掌握LED显示器的使用方法;(3)能够掌握面对硬件的编程思想四.总体设计所设计题目的功能因为单片机的LED显示器只有六位,所以要显示的字符串的长度超过六位的时候就要滚动显示。

所谓的滚动显示就是指在t1这个时刻显示“012345”,在t1+Δt这个时刻显示“123456”,在t1+2Δt这个时刻显示“234567”,以此类推。

总体方案设计程序功能可以分为以下几个部分:主程序负责定时器/计数器的初始化、中断的初始化和LED显示,外部中断的数理程序用来启动或停止滚动显示,定时器/计数器中断服务程序用来负责读取下一显示周期要显示的字符串。

(1)中断源的选择系统一共用到了两个中断源,即一个定时器/计数器中断和一个外部中断(2)字符串截取实现其实就是一个对指定地址开始将连续的6个字节的数据传送到显示缓冲区中的操作。

四.硬件系统设计硬件电路《中断电路》五.软件系统设计软件模块设计和流程《流程图》程序清单ORG 0000HSETB P3.2 ; p3.2口置1输出高电平MAIN: JNB P3.2,MAIN3MOV A,#03H 方式控制字03H送AMOV DPTR,#0FF20H ;给DPTR开辟存储空间MOVX @DPTR,A 方式控制字送8155命令TT: MOV R2,#04HTT1: MOV R4,#05H ;R4循环表示循环次数TT2: DJNZ R4, ;DJNZ R2,TT1MOV 5FH,5EHMOV 5EH,5DHMOV 5DH,5CHMOV 5CH,5BHMOV 5BH,5AHMOV 5AH,59HMOV 59H,58HMOV 58H,57HMOV 57H,56HMOV 56H,55HMOV 55H,54HMOV 54H,53HMOV 53H,52HMOV 52H,51HMOV 51H,50HMOV 50H,5FHAJMP MAINDISP4: MOV R5,#01H ;位码给R5MOV R0,#59H ;显示缓冲区地址给R0MOV A,R5 ; 位码送ALD0: MOV DPTR,#0FF21H ; c口给DPTRMOVX @DPTR,A ;0ff21H中值为01HMOV DPTR,#0FF22H ;B口给DPTRMOV A,@R0 ;待显示字符地址偏移量送AADD A,#0EH ;对A进行地址修正MOVC A,@A+PC ;查表MOVX @DPTR,A ;查表结果给0FF22H ACALL DLAY ; 延时INC R0MOV A,R5JB ACC.5,LD1 ; 累加器的第6为1,执行LD1RL A ;A左移一位,选通第二个数码管MOV R5,A ; 送回R5AJMP LD0LD1:SJMP TT2DB 0C0h,0F9H,0A4H,0B0H,99H,92HDB 82H,0F8H,80H,90H,88H,83H,0C6HDB 0A1H,86H,8EH,0FFH,0CH,89HDB 0C8H,0C1H,7FH,0BFHDLAY:MOV R7,#04HDL1: MOV R6,#0FFHDL2: DJNZ R6,DL2DJNZ R7,DL1RETMAIN3:CLR C ;中断MOV C,P3.2 ;Cy=1JNC FF ;若Cy=0,执行FF,若Cy=1,则顺序执行MOV A,#03HMOV DPTR,#0FF20HMOVX @DPTR,ATT3: MOV R2,#02HTT13: MOV R4,#05HTT23: DJNZ R4,DISP43DJNZ R2,TT13AJMP MAIN3DISP43: MOV R5,#01HMOV R0,#59HMOV A,R5LD03: MOV DPTR,#0FF21HMOVX @DPTR,AMOV DPTR,#0FF22HMOV A,@R0ADD A,#0EHMOVC A,@A+PCMOVX @DPTR,AACALL DLAY3INC R0MOV A,R5JB ACC.5,LD13RL AMOV R5,AAJMP LD03LD13:SJMP TT23DB 0C0h,0F9H,0A4H,0B0H,99H,92H DB 82H,0F8H,80H,90H,88H,83H,0C6H DB 0A1H,86H,8EH,0FFH,0CH,89HDB 0C8H,0C1H,7FH,0BFHDLAY3:MOV R7,#04HDL13: MOV R6,#0FFHDL23: DJNZ R6,DL23DJNZ R7,DL13RETFF: AJMP MAINEND六.调试方案硬件调试方案连线X15口到LED接口,然后运行,在显示器上可以显示滚动字符串,按下去即可中断。

FPGA实验报告

FPGA实验报告

电气技术实践可编程逻辑器件FPGA应用开发实验报告2016年12月目录一、实验目的二、实验要求三、实验内容四、实验代码及实验结果(1)4位二进制加法计数器(2)半加器(3)LED静态显示(4)38译码器(5)点阵扫描显示(6)步进电机状态机五、实验感想六、学习并使用FPGA的心得与体会七、电气技术实践总结一、实验目的1、熟悉使用可编程逻辑器件(Altera公司FPGA Cyclone系列EP1C6Q)。

2、熟悉使用硬件描述语言VHDL。

3、掌握FPGA集成环境(Altera公司FPGA QuartusII 开发流程。

4、熟悉使用核心目标系统板与接口电路等工作原理及其功能模块绑定信息。

5、熟悉并掌握下载线方式和下载文件的选择。

二、实验要求1、学习并掌握文本、图形等输入和时序、功能仿真方法。

2、学习并熟悉门电路、组合电路、时序电路等单一模块功能。

3、学习并设计各种不同状态机逻辑功能。

4、学习并设计由单一模块→较多功能模块集成→系统集成方法。

5、学习并选择多种模式显示(发光二极管显示、米字型数码管显示、七段数码管→动态扫描或静态扫描显示、LED点阵显示各种字符和图形或静止或移动等方式、LCD液晶显示各种字符和图形或静止或移动等方式)。

6、根据自已的兴趣和愿望,可从以下给定的实验目录中选取或自已设定功能题目。

7、实验数目没有要求,关键是看质量,是否是自已编写、调试、实现。

三、实验内容1、按指导书集成开发环境章节操作实现文本编程实例1和图形编程实例2全过程。

2、任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自已规定。

在进行FPGA目标器件输入和输出引脚绑定时,输入引脚绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引脚可绑定发光二极管、七段数码管、LED点阵等显示模式。

3、在完成1位十进制计数器的基础上,可增加完成2或3等多位十进制计数器逻辑功能并用多位七段数码管来显示。

4、根据状态机工作特点,设计一个有一定功能效果的例程。

(整理)拨码开关控制实验电路图

(整理)拨码开关控制实验电路图

拨码开关控制实验电路图流程图/* 基本开关控制实验 *///==宣告区=================================#include <reg51.h> //定义8051头文件,引入reg52.h#define SW P2//定义开关接至P2#define LED P1//定义LED接至P 1//==主程式=================================main()//主程序开始{ SW=0xff;//规划输入端口while(1)//无穷循环,程式一直跑LED=SW;//读取开关(P2)状态,输出到LED(P1)}//主程序结束思考一下!在本实验里,有没有“抖动”的困扰?若希望拨码开关中的S1、S3、S5三个开关都on,则前四个LED 亮;S2 或 S4 或 S6开关 on,则后四个LED 亮;S7及 S8 开关 on,则所有LED全亮,程序应如何编写?若将拨码开关换成一般家里墙壁上的开关,而LED换成继电器(RELAY),是否可作为家里的负载控制?按钮ON-OFF控制实验按钮ON-OFF 控制实验电路图流程图如下:/* 基本按钮ON-OFF控制实验*///==声明区======================================= #include<reg51.h>//定义8051头文件,引入reg52.h sbit PB1=P2^0;//声明按钮1接至P2.0sbit PB2=P2^1;//声明按钮2接至P2.1sbit LED=P1^0;//声明LED为P1.0//==主程式======================================= main()//主程序开始{ LED=1;//关闭LEDPB1=PB2=1;//规划输入端口while(1)//无穷循环,程式一直跑{if (PB2==0)LED=1;//若按下PB2,则关闭LEDelse if (PB1==0)LED=0;//若按下PB1,则点亮LED}//while循环结束}//结束程序思考一下!在本实验里,有没有“抖动”的困扰?若将按钮开关当成启动电机的ON-OFF开关,而LED换成继电器(RELAY),是否可作为电机控制?若同时按下PB1 与PB2按钮会怎样?按钮切换式控制实验按钮切换式控制实验电路图流程图实验代码:/* 按钮切换式控制实验*///==声明区===================================== #include<reg51.h>//定义8051头文件,引入reg52.h sbit PB1=P2^0;//声明PB1接至P2.0sbit LED=P1^0;//声明LED接至P1.0void debouncer(void);//声明防弹跳函数//==主程序===================================== main()//主程式开始{ LED=1;//关闭LEDPB1=1;//规划P2.0为输入埠while(1)//无穷循环,程序一直跑{ if (PB1==0)//若按下PB1{ debouncer();//呼叫防弹跳函数(按下时)LED=!LED;//切换LED为反相while(PB1 != 1);//若仍按住PB1,继续等debouncer();//呼叫防弹跳函数(放开时)}//if叙述结束}//while循环结束}//主程序结束//==子程序=====================================/* 防弹跳函数函数,延迟约20ms */void debouncer(void)//防弹跳函数开始{ int i;//声明整数变数ifor(i=0;i<2400;i++);//计数2400次,延迟约20ms}//防弹跳函数结束思考一下!在本实验里,改变debouncer函数的时间长短,看看有什么影响?若按住PB1不放会怎样?如何改善?按钮开关应用电路图流程图代码如下:/* 按钮开关应用(两按钮控制七段显示器上下数) *///==声明区==========================================#include<reg51.h>//定义8051头文件,引入reg52.h文件#define SEG P0//定义七节显示器接至P0端口/* 声明七节显示器驱动信号阵列(共阳) */char codeTAB[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x83,0xf8,0x80,0x98};//数字0-9sbit PB1=P2^0;//声明按钮1接至P2.0sbit PB2=P2^1;//声明按钮2接至P2.1void debouncer(void);//声明防弹跳函数//==主程式=================================main()//主程序开始{ unsigned char i=0;//声明变量i初值=0PB1=PB2=1;//规划输入端口SEG=TAB[i];//输出数字至七段显示器while(1)//无穷循环,程式一直跑{ if (PB1==0)//判断PB1是否按下{ debouncer();//呼叫防弹跳函数i= (i<9)? i+1:0;//若i<9则i=i+1,若i>=9清除为0SEG=TAB[i]; //输出数字至七段显示器while(PB1==0); //PB1是否按住?debouncer(); //呼叫防弹跳函数}//if叙述结束if (PB2==0)//判断PB2是否按下{ debouncer();//呼叫防弹跳函数i= (i>0)? i-1:9;//若i>0则i=i-1,i<=0重设为9SEG=TAB[i];//输出数字至七段显示器while(PB2==0);//PB1是否按住?debouncer();//呼叫防弹跳函数}//if叙述结束}//while循环结束}//主程序结束//==子程序==================================/* 防弹跳函数函数,延迟约20ms */void debouncer(void)//防弹跳函数开始{ int i;//声明整数变量ifor(i=0;i<2400;i++);//计数2400次,延迟约20ms}//防弹跳函数结束思考一下!在本实验里,若按钮按住不放,会怎样?如何改善?在本实验里,若PB1与PB2两个按钮同时按,会怎样?BCD数字型拨码开关实验BCD数字型拨码开关实验电路图流程图实验代码:/* BCD数字型指拨开关实验*///==声明区======================================#include<reg51.h>//定义8051头文件,引入reg52.h文件#define SEG P0//定义七节显示器接至P0#define SW P2//定义开关接至P2/* 声明七节显示器驱动信号阵列(共阳) */char codeTAB[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x83,0xf8,0x80,0x98};//数字0-9#define SW_H() SW&0x0f//读取开关值(P2清除高4bits)//==主程序======================================main()//主程序开始{ SW=0xff;//规划输入埠while(1)//无穷循环,程序一直跑SEG=TAB[SW_H()];//读取开关值,输出至七节显示器(P0)}//主程序结束思考一下!在本实验里,有没有“抖动”的困扰?若把本单元的BCD数字型拨码开关,改为16进位数字型拨码开关,程序应如何修改?多重按钮开关实验多重按钮开关实验电路图流程图实验中的自编库函数/* myio.h自己写的程序库*///==声明区============================== #define LED P1//定义LED接至P1void debouncer(void);//声明防弹跳函数void delay10ms(int);//声明10毫秒延迟函数void alter(int);//声明交互闪烁函数void left(int);//声明单灯左移函数void right(int);//声明单灯右移函数void pili(int); //声明霹雳灯函数void flash(int);//声明闪烁函数//==自己写的子程序========================/* 防弹跳函数函数,延迟约20ms */void debouncer(void)//防弹跳函数开始{ delay10ms(2);//延迟约20ms}//防弹跳函数结束/* 延迟函数开始,延迟约x 10ms */void delay10ms(int x)//延迟函数开始{ int i,j;//声明整数变量i,jfor (i=0;i<x;i++)//计数x次,延迟约x 10msfor (j=0;j<1200;j++);//计数1200次,延迟约10ms }//延迟函数结束/* 高低位元交互闪烁函数,执行x次*/void alter(int x)//高低位元交互闪烁函数开始{ int i;//声明变量iLED=0x0f;//初始状态(高位元亮,低位元灭)for(i=0;i<2*x-1;i++)//i变量for循环执行2x-1次{ delay10ms(50);//延迟50*10m=0.5sLED=~LED;//LED反相输出}//i变量for循环结束delay10ms(50);//延迟50 10m=0.5s}//高低位元交互闪烁函数结束/* 全灯闪烁函数,执行x次*/void flash(int x)//全灯闪烁函数开始{ int i;//声明变量iLED=0x00;//初始状态(全亮)for(i=0;i<2*x-1;i++)//i变量for循环执行2x-1次{ delay10ms(50);//延迟50 10m=0.5sLED=~LED;//P0反相输出}//i变量for循环结束delay10ms(50);//延迟50 10m=0.5s}//全灯闪烁函数结束/* 单灯左移函数,执行x圈*/void left(int x)//单灯左移函数开始{ int i, j;//声明变量i,jfor(i=0;i<x;i++)//i循环,执行x圈{ LED=0xfe;//初始状态=1111 1110for(j=0;j<7;j++)//j循环,左移7次{ delay10ms(25);//延迟25 10m=0.25sLED=(LED<<1)|0x01;//左移1位後,LSB设为1 }//j循环结束delay10ms(25);//延迟25 10m=0.25s}//i循环结束*/}//单灯左移函数结束/* 单灯右移函数,执行x圈*/void right(int x)//单灯右移函数开始{ int i, j;//声明变量i,jfor(i=0;i<x;i++)//i循环,执行x圈{ LED=0X7f;//初始状态=0111 1111for(j=0;j<7;j++)//j循环,右移7次{ delay10ms(25);//延迟25 10m=0.25sLED=(LED>>1)|0x80;//左移1位後,MSB设为1 }//j循环结束delay10ms(25);//延迟25 10m=0.25s}//i循环结束}//单灯左移函数结束/* 霹雳灯函数,执行x圈*/void pili(int x)//霹雳灯函数开始{ int i;//声明变量ifor(i=0;i<x;i++)//i循环,执行x圈{ left(1);//单灯左移一圈right(1);//单灯左移一圈}//i循环结束}//霹雳灯函数结束多重按钮开关实验之一:代码/* 多重按钮开关实验之1 *///==声明区================================#include<reg52.h>//定义8051头文件,引入reg52.h文件#include"myio.h"//自己写的I/O程序库sbit PB1=P2^0;//声明PB1=P2.0sbit PB2=P2^1;//声明PB2=P2.1sbit PB3=P2^2;//声明PB3=P2.2sbit PB4=P2^3;//声明PB4=P2.3//==主程序================================ main()//主程序开始{ LED=0xff;//初始状态(LED全灭)P2=0xff;//规划P2输入端口while(1)//无穷循环,程序一直跑{ if (PB1==0)//如果按下PB1{ debouncer();//防弹跳alter(3);//高低位元交互闪烁三次flash(3);}//全灯闪烁三次else if (PB2==0)//如果按下PB2{ debouncer();//防弹跳left(3);//单灯左移三圈flash(3);}//全灯闪烁三次else if (PB3==0)//如果按下PB3{ debouncer();//防弹跳right(3);//单灯右移三圈flash(3);}//全灯闪烁三次else if (PB4==0)//如果按下PB4{ debouncer();//防弹跳pili(3);//霹雳灯三圈flash(3);}//全灯闪烁三次}//while循环结束}//主程序结束思考一下!在本实验里,若同时按下多个按钮会如何?在本实验里,若按住按钮不放会如何?在本实验里,其中debouncer函数是个延迟20毫秒的函数,而delay10ms函数是个延迟10毫秒的函数,可否使用delay10ms 函数取代debouncer函数?如何修改?在本实验里,其中alter函数是个高四位与低四位交替闪烁的函数,而flash函数是个8灯闪烁的函数,其不同在于其初始值。

基于“米”字型字符管的趣味显示器设计

基于“米”字型字符管的趣味显示器设计

电子综合设计题目趣味显示器设计--硬件设计学院计算机与信息工程学院专业电子信息工程班级学生姓名指导教师2012 年10 月18 日趣味显示器设计一、设计目的电子综合设计是在学完《模拟电子技术基础》和《数字电子技术基础》的课程之后,安排的教学与生产实际相结合的重要实践教学环节。

在电子综合设计的过程中,使学生能综合运用自己的所学知识,从而培养学生观察问题、解决问题的能力。

为今后的毕业设计、工程实践打下一定的基础。

通过完成一个课题的电路设计、理论分析计算和实验调试任务,巩固和加深电子技术课程中所学的理论知识和实验技能。

本次的课程设计,主要完成数字电路实现对“米”字符显示器的控制,掌握集成数字芯片的使用方法和“米”字符显示管的使用。

通过实现对“米”字符显示管的控制,进一步了解基本数字电路的控制原理,并且掌握对简单实用电路的分析方法和工程设计方法。

二、设计要求1、设计题目:趣味显示器设计。

2、基本功能:使用“米”字符显示管设计一个能控制8个“米”字符显示管的显示器,要求能控制形成形成多种字母和数字。

3、扩展功能:通过调节电位器的数值可以调节显示器的显示频率和实现显示器的字符可调节功能。

4、要求独立查阅相关资料,进行理论设计、元器件选择。

5、要求独立进行印刷线路板的组装、焊接、调试,并实现基本功能。

三、设计工具四、整体设计1、资料查阅趣味显示器主要分为显示部分和数字控制部分,显示器采用多个“米”字符显示管来实现显示功能,“米”字符显示管共有16段笔画(A-P),用它可以显示很多字符和数字,比如26个英文字母、阿拉伯数字等等简单字符,不仅如此,在活用了“米”字符按显示管后可以扩展显示许多字母字型。

2、设计思路由于用多段“米”字符显示管来显示时,需要动态扫描每一个“米”字符显示器。

这时就需要采用计数器芯片来实现,然而又考虑到计数器工作时需要有时钟信号来控制,这时就需要设计一个震荡电路来产生时钟信号。

当计数器工作后,每输出一个高电平片选就将一个“米”字型字符管点亮,而每一个“米”字型字符管所显示的字符采用拨码开关来做未选或用二极管锁存位信号。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中北大学课程设计说明书学生姓名:魏祥学号:0706024140学院: 电子与计算机科学技术学院专业: 微电子学专业题目: 利用拨码开关控制米字管进行字母显示指导教师:王红亮职称: 讲师2010年 6月 25日目录1.课程设计目的 (2)2.课程设计内容及要求 (2)2.1设计内容 (2)2.2设计要求 (2)3.设计方案及实现情况 (2)3.1设计思路 (2)3.2工作原理及框图 (2)3.3各模块功能描述 (3)3.4仿真结果 (4)3.5试验箱验证情况 (5)4.课程设计总结 (7)5.参考文献 (7)1、课程设计目的(1).学习操作数字电路设计实验开发系统,掌握米字管的工作原理及应用。

(2).掌握组合逻辑电路、时序逻辑电路的设计方法。

(3).学习掌握可编程器件设计的全过程。

2、课程设计内容和要求:2.1、设计内容用VHDL语言编写程序,利用拨码开关控制米字管进行字母显示。

2.2、设计要求(1).学习掌握拨码开关控制模块、米字管的工作原理及应用;(2). 熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;(3). 仿真所编写的程序,模拟验证所编写的模块功能;(4). 下载程序到芯片中,硬件验证所设置的功能,能够实现字母显示;(5). 整理设计内容,编写设计说明书。

3、设计方案及实现情况3.1、设计思路这个实验是用米字管显示26个英文字母,通过五个拨码开关不同的高低状态表示26种不同的字母显示。

3.2、工作原理及框图米字管显示字母的原理是将米字管分成16个状态,通过不同的管脚的状态显示其16个不同的模块,再用拨码开关显示其不同模块的亮暗程度,从而达到显示不同字母的功能。

当复位信号为高时,二进制显示输出为:"1111111111111111"。

当复位信号为低,处于上升沿状态是,二进制分别显示,显示A—Z的二进制代码分别为: "0011000000011010"、"0111100000101001"、"1100111100000000"、"0000001101000010"、"1100111110001000"、"1100001110001000"、"1101111100001000"、"0011001110001000"、"1100110000100001"、"1100010000100001"、"0000000000110101"、"0000111100000000"、"0001001010001001"、"0001101010000001"、"1111111100000000"、"1000001110100000"、"1111111100000100"、"0110000000101101"、"0101100000101000"、"1100000000100001"、"0011111100000000"、"0000000001010000"、"0010000110101000"、"0000000001010110"、"0000000001010001"、"1100110000010010"。

当拨码开关显示超过"11001"时,二进制显示输出为"0000000000000000"。

3.3、各模块功能描述米字管显示字母用不同的管脚分别控制,由高到低分别为A1、A2、B、C、D1、D2、E、F、G、H、J、K、M、N、O、P。

通过以上管脚分别控制米字管分成的不同的模块的亮暗,先从最上方横线开始,依次控制。

以下是实现功能的VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity mzg isport( clk: in std_logic;reset:in std_logic;din:in std_logic_vector(4 downto 0);q:out std_logic_vector(15 downto 0));end mzg;architecture xianshi of mzg isbeginprocess(reset,clk)beginif (reset='1') then q<="1111111111111111";elseif(clk'event and clk='1')thencase din iswhen "00000"=>q<="0011000000011010";when "00001"=>q<="0111100000101001";when "00010"=>q<="1100111100000000";when "00011"=>q<="0000001101000010";when "00100"=>q<="1100111110001000";when "00101"=>q<="1100001110001000";when "00110"=>q<="1101111100001000";when "00111"=>q<="0011001110001000";when "01000"=>q<="1100110000100001";when "01001"=>q<="1100010000100001";when "01010"=>q<="0000000000110101";when "01011"=>q<="0000111100000000";when "01100"=>q<="0001001010001001";when "01101"=>q<="0001101010000001";when "01110"=>q<="1111111100000000";when "01111"=>q<="1000001110100000";when "10000"=>q<="1111111100000100";when "10001"=>q<="0110000000101101";when "10010"=>q<="0101100000101000";when "10011"=>q<="1100000000100001";when "10100"=>q<="0011111100000000";when "10101"=>q<="0000000001010000";when "10110"=>q<="0010000110101000";when "10111"=>q<="0000000001010110";when "11000"=>q<="0000000001010001";when "11001"=>q<="1100110000010010";when others=>q<="0000000000000000";end case;end if;end if;end process;end xianshi;3.4、仿真结果米字管显示字母仿真结果: 当时钟为上升沿时,输出显示改变;当reset为高时,输出"1111111111111111";用二进制表示米字管显示的不同字母,用米字管显示字母仿真结果:拨动不同的开关状态,在米字管上显示不同的字母;拨动复位键,米字管全亮。

当reset为低时,上升沿状态,通过五位二进制高低状态,分别显示不同的字母状态。

图1 程序仿真图3.5、实验箱验证情况(1)将程序仿真编译后下载到FPGA芯片,接通电源,米字管会显示出字母,拨动不同开关状态,米字管显示不同的字母和状态。

下图分别显示首字母A和尾字母Z。

图2 显示字母”A”图3 显示字母”Z”(2) 时钟信号接CLK,拨码开关D16输入接SEL0,复位信号reset接拨码开关D15,五个拨码开关D1—D5接入FPGA芯片,控制不同的高低状态,米字管各模块分别通过管脚A1、A2、B、C、D1、D2、E、F、G、H、J、K、M、N、O、P控制。

以下是实验箱上总体连线图。

图4 实验箱接线图4、课程设计总结本实验是用拨码开关控制米字管显示字母,要做好本实验,不仅需要懂得用硬件描述语言编写程序,还要理解可编程器件的应用,编程下载,在实验箱上显示不同的字母。

实验的基本设计流程一般是:先分析题目,了解所要做的事情;然后是对题目进行编程,用时钟或复位等信号进行功能的分配;再就是仿真了,做出仿真图形;最后就是连接管脚,编程下载了,在实验箱上进行功能显示。

相关文档
最新文档