BCD七段数码管显示译码器电路

合集下载

十六进制七段数码显示译码器

十六进制七段数码显示译码器

十六进制七段数码显示译码器一、实验目的:学习7段数码显示器的Verilog硬件设计。

二、实验原理:7段数码显示器是纯组合电路。

通常的小规模专用IC,如74或4000系列的器件只能做十进制BCD码译码器(其真值表如图(1)所示),然而数字系统中的数据处理和运算都是二进制的,所以输出表达式都是十六进制的,为了满足十六进制的译码显示,最方便的方法就是利用Verilog译码程序在FPGA/CPLD中来实现。

所以首先要设计一段程序。

设输入的4位码为A[3:0],输出控制7段共阴数码管(如图(2)所示)的7位数据为LED7S[6:0]。

输出信号LED7S 的7位接共阴数码管的7个段,高位在左,低位在右。

例如当LED7S 输出为“1101101”时,数码管7个段g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。

这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,然后将LED7S改为8位输出。

图(1)7段译码器真值表0100 1100110 4 1100 0111001 C 0101 1101101 5 1101 1011110 D 0110 1111101 6 1110 1111001 E 0111 0000111 7 1111 1110001 F图(2)7段共阴数码管三、实验任务:将设计好的Verilog译码器程序在Quartus II上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形图(注意仿真波形输入激励信号的设置)。

提示:设定仿真激励信号是用输入总线的方式给出输入信号的仿真数据。

四、实验步骤:(一)、建立工作库文件和编辑设计文文件任何一项设计都是一项Project(工程),而把一个工程下的所有文件放在一个文件夹内是一个非常好的习惯,以便于我们整理,利用和提取不同工程下的文件,而此文件夹将被EDA软件默认为Work Library(工作库),所以第一步先根据自己的习惯,建立个新的文件夹。

CD4511(BCD码七段码译码器)

CD4511(BCD码七段码译码器)

表 3-2 CD 4511 的真值表
8421 BCD 码对应的显示见下图

选用共阴极数码管,对于 CD4511 ,它与数码管的基本连接方式如下图 :
J=
=(C+B)D+BI
如不考虑消隐 BI 项,便得 J=(B+C)D 据上式,当输入 BCD 代码从 1010---1111 时,J 端都为“1”电平,从而使显示器中
的字形消隐。
输出 输入 LE BI LI D C B A a b c d e f g 显示 X X 0 XXXX1111111 8 X 0 1 X X XX 0 0 0 0 0 0 0 消隐 0 1 1 0 0 0 0 1111110 0 0 1 1 0 0 0 1 0110000 1 0 1 1 0 0 1 0 1101101 2 0 1 1 0 0 1 1 1111001 3 0 1 1 0 1 0 0 0110011 4 0 1 1 0 1 0 1 1011011 5 0 1 1 0 1 1 0 0011111 6 0 1 1 0 1 1 1 1110000 7 0 1 1 1 0 0 0 1111111 8 0 1 1 1 0 0 1 1110011 9 0 1 1 1 0 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 0 1 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 1 0 0 0 0 0 0 消隐 1 1 1 X X XX 锁 存 锁存
a、b、c、d、e、f、g:为译码输出端,输出为高电平 1 有效。 CD4511 的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可

BCD七段译码器驱动器

BCD七段译码器驱动器

tPHL 传输延迟时间 A tPLH 传输延迟时间 A tPHL 传输延迟时间 tPLH 传输延迟时间
CL=15pF RL=4KΩ CL=15pF RL=6KΩ
最小
参数值 典型
最大 100 100 100 100
单位
ns ns ns ns
天水天光半导体有限责任公司(八七一厂) 2005.1 版
件)。
4、当灭灯输入/动态灭灯输出(
)开路或保持在电平,而试灯输入( )为低
电平时,则所有各段的输出都为低电平。
*
是线与逻辑,用作灭灯输入( )或动态灭灯输出( )之用,或兼作
两者之用。
天水天光半导体有限责任公司(八七一厂) 2005.1 版
54LS248/74LS248
LSTTL 型 BCD—七段译码器/驱动器

HH H× H× H× H× H× H× H× H× H× H× H× H× H× H× H× ××
入 D C BA LLLL L L LH LLHL L LHH LHLL LHLH LHHL LHHH HLLL H L LH HLHL H L HH HHL L HHLH HHHL HHHH非门和一个驱动器成对连接,以产生可用的
BCD 数据及其补码至 7 个与或非译码门。剩下的与非门和 3 个输入缓冲器作为
试灯输入( )端、灭灯输入/动态灭灯输出(
)端及动态灭灯输入( )端。
该电路接受 4 位二进制编码—十进制数(BCD)输入,并根据辅助输入的状
态,将这些数据译成驱动其它元件的码。
Vcc=最大 (除
VI=2.7V )
20
IIL
输入低电平电流 Vcc=最大 VI=0.4V 其它输入
-1.2 -0.4

七段数码管显示实验报告

七段数码管显示实验报告

七段数码管显示实验报告实验目的:本实验的目的是通过控制7段数码管的亮灭状态来显示不同的数字和字母。

实验原理:7段数码管常用于显示数字和字母,每个数码管由7个LED灯组成,分别表示A、B、C、D、E、F、G等7个段。

通过控制这些LED灯的亮灭状态,就可以显示不同的数字和字母。

在实际应用中,通常需要使用一个译码器来根据输入的数字或字母输出相应的控制信号。

常用的译码器有7447、DM9368等。

这些译码器通常都是BCD码到7段数码管的译码器。

在本实验中,我们将使用7447译码器来控制7段数码管的亮灭状态。

7447译码器具有4个输入线和7个输出线,每个输入线上的BCD码可以转换成相应的控制信号,用于控制数码管的7个LED 灯。

实验材料:1.7段数码管2.7447译码器3.电路板4.电压源5.连接线实验步骤:1.将7447译码器插入电路板上相应的插槽中,并将数码管连接到电路板上。

2.将电压源连接到电路板上,并调节电压和电流值。

3.根据所需显示的数字或字母,设置相应的BCD码输入信号。

4.打开电源,观察数码管是否能够正确显示。

实验结果:通过本实验,我们可以成功控制7段数码管的亮灭状态,实现了数字和字母的显示。

同时,我们也了解了7447译码器的原理和使用方法。

实验小结:本实验是电子技术的基础实验之一,通过实验我们深入了解了7段数码管和7447译码器的原理和应用,同时也锻炼了我们的动手能力和实验技能。

在实际应用中,7段数码管和译码器常常被用于数字显示、计数器、时钟、温度计等电子设备中,具有广泛的应用前景。

七段显示译码器7448功能,引脚图及应用电路

七段显示译码器7448功能,引脚图及应用电路

七段显示译码器7448功能,引脚图及应用电路数字显示译码器是驱动显示器的核心部件,它可以将输入代码转换成相应的数字显示代码,并在数码管上显示出来。

图8-51所示为七段显示译码器7448的引脚图,输入A3 、A2 、A1和A0接收四位二进制码,输出a~g为高电平有效,可直接驱动共阴极显示器,三个辅助控制端、、,以增强器件的功能,扩大器件应用。

7448的真值表如表8-20所示。

从功能表可以看出,对输入代码0000,译码条件是:灯测试输入和动态灭零输入同时等于1,而对其他输入代码则仅要求=1,这时候,译码器各段a~g输出的电平是由输入代码决定的,并且满足显示字形的要求。

图8-51 7448引脚图表8-20 7448功能表灯测试输入低电平有效。

当 = 0时,无论其他输入端是什么状态,所有输出a~g均为1,显示字形8。

该输入端常用于检查7448本身及显示器的好坏。

动态灭零输入低电平有效。

当=1,,且输入代码时,输出a~g均为低电平,即与0000码相应的字形0不显示,故称“灭零”。

利用=1与= 0,可以实现某一位数码的“消隐”。

灭灯输入/动态灭零输出是特殊控制端,既可作输入,又可作输出。

当作输入使用,且= 0时,无论其他输入端是什么电平,所有输出a~g均为0,字形熄灭。

作为输出使用时,受和控制,只有当,,且输入代码时,,其他情况下。

该端主要用于显示多位数字时多个译码器之间的连接。

【例8-13】七段显示器构成两位数字译码显示电路如图8-52所示。

当输入8421BCD码时,试分析两个显示器分别显示的数码范围。

图8-52 两位数字译码显示电路解:图8-52所示的电路中,两片7448的均接高电平。

由于7448(1)的,所以,当它的输入代码为0000时,满足灭零条件,显示器(1)无字形显示。

7448(2)的,所以,当它的输入代码为0000时,仍能正常显示,显示器(2)显示0。

而对其他输入代码,由于,译码器都可以输出相应的电平驱动显示器。

七段显示译码器7448功能引脚图及应用电路

七段显示译码器7448功能引脚图及应用电路

七段显示译码器7448功能,引脚图及应用电路数字显示译码器是驱动显示器的核心部件,它可以将输入代码转换成相应的数字显示代码,并在数码管上显示出来。

图8-51所示为七段显示译码器7448的引脚图,输入A3、A2、A1和A0接收四位二进制码,输出a〜g为高电平有效,可直接驱动共阴极显示器,三个辅助控制端厅、亦7|、可亦而,以增强器件的功能,扩大器件应用。

7448的真值表如表8-20所示。

从功能表可以看出,对输入代码0000,译码条件是:灯测试输入和动态灭零输入同时等于1,而对其他输入代码则仅要求=1,这时候,译码器各段a〜g输出的电平是由输入代码决定的,并且满足显示字形的要求。

图8-51 7448引脚图表8-20 7448功能表十轆数输入输出17A A A他。

住b d/s 01100u0I L11111011K00011011000021K00101110110131K D0111111100141K Q10010110011 1乂010]11011011«1011010011111710111111]0000>110001111111191*1001111110111011D1d100A1101111K101110n11001121K11D010i0D0]113111D111001011H1K111010001111151111]1D000000消隐:X00000000垃X X X K动态灭零1V00D000Q00000 0丈M艾11111111灯测试输入厅I低电平有效。

当厅=0时,无论其他输入端是什么状态,所有输出a〜g均为1,显示字形&该输入端常用于检查7448本身及显示器的好坏。

动态灭零输入亟低电平有效。

当厅=1,画川,且输入代码_ 1时,输出a〜g均为低电平,即与0000码相应的字形0 不显示,故称灭零”利用盯=1与画=0,可以实现某一位数码的消隐”时,厨亦而,其他情况下阪帀而=1。

七段数码管显示实验

七段数码管显示实验

实验一七段数码管显示实验(1)实验目的学习7段数码显示译码器设计;学习VHDL的CASE语句应用及多层次设计方法。

(2)实验原理7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是 16进制的,为了满足16进制的译码显示,最方便的方法就是利用译码程序在 FPGA/CPLD中来实现。

7段译码器输出信号 LED7S的7位分别接如图数码管的 7个段,高为在左,低位在右。

如LED7S 输出为“1101101 ”时,数码管的7个段:g、f、e d、c、b、a分别接1、1、0、1、1、0、 1;接有高电平的段发亮,于是数码管显示“ 5”。

(3)实验内容说明下面源代码中各语句的含义,以及该程序的整体功能。

在Quartusll上对该程序进行编辑、编译、综合、适配、仿真,给出起所有信号的时序仿真波形。

提示:用输入总线的方式给出输入信号的仿真数据,仿真波形示例图如图:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DECL7S ISPORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END;ARCHITECTURE one OF DECL7S ISBEGINPROCESS( A )BEGINCASE A ISWHEN "0000" => LED7S <= "0111111";WHEN "0001" => LED7S <= "0000110";WHEN "0010" => LED7S <= "1011011";WHEN "0011" => LED7S <= "1001111";WHEN "0100" => LED7S <= "1100110";WHEN "0101" => LED7S <= "1101101";WHEN "0110" => LED7S <= "1111101";WHEN "0111" => LED7S <= "0000111";WHEN "1000" => LED7S <= "1111111";WHEN "1001" => LED7S <= "1101111";WHEN "1010" => LED7S <= "1110111";WHEN "1011" => LED7S <= "1111100";WHEN "1100" => LED7S <= "0111001";WHEN "1101" => LED7S <= "1011110";WHEN "1110" => LED7S <= "1111001";WHEN "1111" => LED7S <= "1110001";END CASE;END PROCESS;END;编译得到模块DECL7S:程序运行后可以看到 7段数码管以每秒一次的跳变速度往上自加,到“F”后归“0”。

7段数码管驱动电路芯片

7段数码管驱动电路芯片

7段数码管驱动电路芯片
驱动7段数码管的电路通常使用集成电路芯片来实现。

其中比较常见的芯片包括7447、7448、4511等。

这些芯片能够有效地控制7段数码管的显示,简化了数字显示电路的设计和实现。

首先,我们来看一下7447芯片。

这是一种BCD-7段数码管译码器,能够将BCD码转换为7段数码管的控制信号。

它可以直接驱动共阳极的数码管,通过输入BCD码,输出对应的控制信号,从而实现数字的显示。

除了7447之外,还有7448芯片,它与7447类似,也是BCD-7段数码管译码器,但输出极性与7447相反,可以直接驱动共阴极的数码管。

另外,4511芯片是一种BCD-7段数码管译码驱动器,它可以直接将BCD码转换为7段数码管的控制信号,并且具有存储器功能,能够存储上一次的输入状态,适合用于静态显示。

这些芯片通常需要外部电路的支持,例如输入BCD码的开关电路、时钟信号的产生电路等。

在使用这些芯片的时候,需要注意输
入端的电平和输入信号的稳定性,以确保数字显示的准确性和稳定性。

另外,还有一些基于微控制器或FPGA的数字驱动方案,它们能够更加灵活地实现数字显示,但相对而言也更加复杂。

总的来说,选择合适的驱动芯片取决于具体的应用需求和设计考虑。

CD4511(BCD码七段码译码器)

CD4511(BCD码七段码译码器)

CD4511是一个用于驱动共阴极LED (数码管)显示器的BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。

可直接驱动LED显示器。

CD4511 是一片CMOS BCD—锁存/7 段译码/驱动器,引脚排列如图2 所示。

其中a b c d 为BCD 码输入,a为最低位。

LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。

BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时,B1端应加高电平。

另外CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。

LE是锁存控制端,高电平时锁存,低电平时传输数据。

a~g是7 段输出,可驱动共阴LED数码管。

另外,CD4511显示数“6”时,a段消隐;显示数“9”时,d段消隐,所以显示6、9这两个数时,字形不太美观图3是CD4511和CD4518配合而成一位计数显示电路,若要多位计数,只需将计数器级联,每级输出接一只CD4511 和LED 数码管即可。

所谓共阴LED 数码管是指7 段LED 的阴极是连在一起的,在应用中应接地。

限流电阻要根据电源电压来选取,电源电压5V时可使用300Ω的限流电阻。

用CD4511实现LED与单片机的并行接口方法如下图:(略)CD4511 引脚图其功能介绍如下:BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。

LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮,显示“8”。

它主要用来检测数码管是否损坏。

LE:锁定控制端,当LE=0时,允许译码输出。

LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

A1、A2、A3、A4、为8421BCD码输入端。

七段译码器显示电路.doc

七段译码器显示电路.doc

七段译码器显示电路.doc七段译码器显示电路是一种常见的数字显示电路,它可以将数字信号转换为七段数码管可以显示的信号。

在这种电路中,数字信号经过七段译码器解码后,将产生与数字相应的七个片段输出信号,这七个片段用于控制数码管的显示。

该电路由以下几部分组成:1.七段译码器七段译码器是将二进制代码转换为七段数码管显示的芯片。

通常,七段译码器有4位二进制输入和7位输出,每个输出对应一个数码管的片段,称为“a”、“b”、“c”、“d”、“e”、“f”、“g”,而其余输出为“dp”,作为十进制点的控制器。

2. 7490计数器7490计数器是一种可实现数字信号计数的芯片。

在该电路中,7490计数器与七段译码器相结合,其输出作为七段译码器的输入,使其能够显示数字信号。

3. 7447特殊驱动芯片7447是一种特殊的数码管驱动芯片,与七段译码器配合使用,用于数码管的数码输出。

7447芯片的输出通过NPN晶体管到达数码管的象限管,控制其亮度。

4. 2N3906 PNP晶体管PNP晶体管的输出与七段译码器的输入进行连接,用于控制七段译码器的输出段。

5. 10μF电容电容器用于过滤输入信号,避免噪声产生干扰。

7. 1K电阻电阻用于将输入信号和晶体管之间的电流限制在一个安全范围内。

该电路基于二进制计数,可从0到9逐个显示数字。

在7490计数器和七段译码器之间的输出信号滞后1,可以在保证数字显示正确的情况下使显示更加流畅。

七段译码器通过特殊驱动芯片和PNP晶体管控制数码管的显示,保证显示效果稳定而清晰。

总之,七段译码器显示电路在数字技术中具有广泛的应用,并可通过合理的设计和调试,为数字信号在显示上提供高效而稳定的解决方案。

7段显示译码器设计实验报告

7段显示译码器设计实验报告

数字钟实验报告学生专业:电子信息工程学生班级:151143C学生学号:*********学生姓名:***7段显示译码器设计151143324 ***一、实验目的:学习七段数码显示译码器设计,多层次设计方法和总线数据输入方式的仿真。

二、实验原理:7段BCD码译码器的设计,输出信号Segmentout的7位分别接数码管的7个段,高位在左,低位在右。

例如当Segmentout输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1,接有高电平的段发亮,于是数码管显示数字“5”。

如果是共阳极的数码显示器,则8段输出应取反,段显码为“10010010”,使用时要注意数码管的接法。

实际产品设计中,一般会用到多个数码管,显示几位数字。

4位数的7段数码管,4位数字共用同样的段输出。

若只想让第一个位显示,其他的位不显示,那么可以只给第一位数字供电,其他的断电,用4LED来控制的,4LED输出0001即可,若只让第二位显示则4LED输出0010即可。

这里的4LED选择控制要显示的位,称为位选。

三、实验内容:module BCD_Segment7(BCDin,Segmentout,Select);input[3:0]BCDin;output Select;output [6:0]Segmentout;reg[6:0]Segmentout;always@(BCDin)begincase(BCDin)4'h0:Segmentout=7'b1000000;4'h1:Segmentout=7'b1111001;4'h2:Segmentout=7'b0100100;4'h3:Segmentout=7'b0110000;4'h4:Segmentout=7'b0011001;4'h5:Segmentout=7'b0010010;4'h6:Segmentout=7'b0000010;4'h7:Segmentout=7'b1111000;4'h8:Segmentout=7'b0000000;4'h9:Segmentout=7'b0010000;4'hA:Segmentout=7'b0001000;4'hB:Segmentout=7'b0000011;4'hC:Segmentout=7'b1000110;4'hD:Segmentout=7'b0100001;4'hE:Segmentout=7'b0000110;4'hF:Segmentout=7'b0001110;default:Segmentout=7'bxxxxxxx;endcaseendassign Select=1'b0;endmodule四、时序仿真波形:引脚设定时序仿真波形参考设置:1、设置仿真时间。

CD4511应用电路

CD4511应用电路

CD4511应用电路CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS 电路能提供较大的拉电流。

可直接驱动LED显示器。

CD4511 是一片 CMOS BCD—锁存/7 段译码/驱动器,引脚排列如图 2 所示。

其中a b c d 为 BCD 码输入,a为最低位。

LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。

BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时, B1端应加高电平。

另外 CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。

LE是锁存控制端,高电平时锁存,低电平时传输数据。

a~g是 7 段输出,可驱动共阴LED数码管。

另外,CD4511显示数“6”时,a段消隐;显示数“9”时,d段消隐,所以显示6、9这两个数时,字形不太美观图3是 CD4511和CD4518配合而成一位计数显示电路,若要多位计数,只需将计数器级联,每级输出接一只 CD4511 和 LED 数码管即可。

所谓共阴 LED 数码管是指 7 段 LED 的阴极是连在一起的,在应用中应接地。

限流电阻要根据电源电压来选取,电源电压5V时可使用300Ω的限流电阻。

用CD4511实现LED与单片机的并行接口方法如下图:CD4511 引脚图其功能介绍如下:BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。

LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入 DCBA 状态如何,七段均发亮,显示“8”。

它主要用来检测数码管是否损坏。

LE:锁定控制端,当LE=0时,允许译码输出。

LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

7448译码与数码管显示电路[整理版]

7448译码与数码管显示电路[整理版]

7448译码与数码管显示电路1. 7448显示译码器7448 BCD-七段显示译码器具有16引脚。

a,b,c,d,e,f,g接数码显示管a,b,c,d,e,f,g:引脚16引脚接5v电源,8引脚接地,LT,RBI,BI/RBO引脚接5v电源,这里5v电源代表逻辑1。

7,1,2,6引脚(对应BCD码的1,2,4,8位)叫BCD码的输入端7448译码器的引脚图7段显示译码器7448是输出高电平有效的译码器,其真值表如下图。

7448译码器的真值表7448除了有实现7段显示译码器基本功能的输入(DCBA)和输出端外,7448还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。

由7448真值表可获知7448所具有的逻辑功能:(1)7段译码功能(LT=1,RBI=1)在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA 经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。

除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。

(2)消隐功能(BI=0)此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。

该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT = 0)此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与及DCBA 输入无关,输出全为“1”,显示器7个字段都点亮。

该功能用于7段显示器测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。

DCBA≠0,则对显示无影响。

实验一七段数码管显示译码器

实验一七段数码管显示译码器

实验⼀七段数码管显⽰译码器实验⼀七段数码显⽰译码器⼀.实验⽬的:1.设计七段显⽰译码器,并在实验板上验证;2.学习Verilog HDL⽂本⽂件进⾏逻辑设计输⼊;3.学习设计仿真⼯具的使⽤⽅法;⼆.实验内容1.实现BCD/七段显⽰译码器的“ Verilog ”语⾔设计。

说明:7段显⽰译码器的输⼊为:IN0…IN3共5根, 7段译码器的逻辑表同学⾃⾏设计,要求实现功能为:输⼊“ 0…15 ”(⼆进制),输出“ 0…9…F ”(显⽰数码),输出结果应在数码管(共阴)上显⽰出来。

2.使⽤⼯具为译码器建⽴⼀个元件符号3.设计仿真⽂件,进⾏验证。

4.编程下载并在实验箱上进⾏验证。

三.实验原理:7段数码是纯组合电路,通常的⼩规模专⽤IC,如74或4000系列的器件只能作⼗进制BCD码译码,然⽽数字系统中的数据处理和运算都是⼆进制的,所以输出表达都是⼗六进制的,为了满⾜⼗六进制数的译码显⽰,最⽅便的⽅法就是利⽤译码程序在FPGA/CPLD 中来实现。

例如6-18作为7段译码器,输出信号LED7S的7位分别接图6-17数码管的7个段,⾼位在左,低位在右。

例如当LED7S输出为“1101101”时,数码管的7个段g,f,e,d,c,b,a分别接1,1,0,1,1,0,1;接有⾼电平的段发亮,于是数码管显⽰“5”。

这⾥没有考虑表⽰⼩数点的发光管,如果要考虑,需要增加段h,然后将LED7S改为8位输出。

四.实验步骤1.编辑和输⼊设计⽂件新建⽂件夹——输⼊源程序——⽂件存盘2.创建⼯程打开并建⽴新⼯程管理窗⼝——将设计⽂件加⼊⼯程中——选择⽬标芯⽚——⼯具设置——结束设置3.全程编译前约束项⽬设置选择FPGA⽬标芯⽚——选择配置器件的⼯作⽅式——选择配置器件和编程⽅式——选择⽬标器件引脚端⼝状态——选择Verilog语⾔版本4.仿真测试五.实验参考程序module LED(A,LED7S);input [3:0]A;output [6:0]LED7S;reg [6:0]LED7S;always @ (A)begin:case(A)4'b0000: LED7S<=7'b0111111;4'b0001: LED7S<=7'b0000110;4'b0010: LED7S<=7'b1011011;4'b0011: LED7S<=7'b1001111; 4'b0100: LED7S<=7'b1100110; 4'b0101: LED7S<=7'b1101101; 4'b0110: LED7S<=7'b1111101; 4'b0111: LED7S<=7'b0000111; 4'b1000: LED7S<=7'b1111111; 4'b1001: LED7S<=7'b1101111; 4'b1010: LED7S<=7'b1110111; 4'b1011: LED7S<=7'b1111100; 4'b1100: LED7S<=7'b0111001; 4'b1101: LED7S<=7'b1011110; 4'b1110: LED7S<=7'b1111001; 4'b1111: LED7S<=7'b1110001; default: LED7S<=7'b0111111; endcaseendendmodule六.编译仿真结果1.时序仿真:2.延时分析及结果:3.⽣成RTL原理图:4.该实验的配置模式:5.适配板布局图及实验仪IO脚与芯⽚的管脚对应关系和其详细放⼤图⽚:6.⼗六进制逻辑分析:7.计数器和译码器连接电路的顶层⽂件原理图:七.体会思考:通过本次实验对EDA和QUARTER2有了初步的了解,第⼀次上机感觉挺难的,这次对Verilog也有了深⼊了解。

BCD七段译码器

BCD七段译码器

)
输出低电平电流(
)
工作环境温度
最小 4.75 2.0
-40
74Ⅱ 参数值
典型 5
最大 5.25
0.8 15 24 -50 3.2 85
电 性 能:(除特别说明外,均为全温度范围)
最小 4.5 2.0
-55
54 参数值 典型
5
最大 5.5
0.7 15 12 -50 1.6 125
Hale Waihona Puke 单位V V V V mA μA mA ℃
动器。低电平有效、高的灌入电流的输出可直接驱动显示器。7 个与非门和一个
驱动器成对连接,以产生可用的 BCD 数据及其补码至 7 个与或非译码门。剩下的
与非门和 3 个输入缓冲器作为试灯输入( )端、灭灯输入/动态灭灯输出(
)
端及动态灭灯输入( )端。
该电路接受 4 位二进制编码—十进制数(BCD)输入并借助于辅助输入端状
54LS247/74LS247
LSTTL 型 BCD—七段译码器/驱动器(OC,15V)
推荐工作条件
符号
参数名称
Vcc VIH VIL VO(OFF) IO(ON) IOH IOL TA
电源电压
输入高电平电压
输入低电平电压
关态输出电压(a~g 输出端)
开态输出电流(a~g 输出端)
输出高电平电流(
Vcc=最大 (
VI=2.7V 除外)
20
IIL
输入低电平电流 Vcc=最大 VI=0.4V
其它输入
IOS
输出短路电流
Vcc=最大 (仅对
VO=0V )
-0.3
ICC
电源电流 Vcc=最大 (注)

BCD七段数码管显示译码器电路

BCD七段数码管显示译码器电路

BCD七段数码管显示译码器电路7段数码管又分共阴和共阳两种显示方式。

如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg 这7个发光二极管的负极连接在一起并接地;它们的 7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是 abcdefg )!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。

如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。

共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。

无论共阴共阳 7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。

发光二极管的工作电压一般在 1.8V--2.2V,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了, 7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数!发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。

分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。

外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。

只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。

图4 - 17( a) 是共阴式LED数码管的原理图,图4-17( b)是其表示符号。

使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17( c)所示。

S4-P数字显示译码器BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4 — 7译码器。

74ls47n工作原理

74ls47n工作原理

74ls47n工作原理
74LS47N是一种BCD(Binary Coded Decimal)-7段数码管译码器/驱动器。

它的工作原理是将输入的BCD码(二进制编码的十进制)转换成对应的7段数码管显示的数字。

具体来说,74LS47N通过译码操作,将BCD码转换成数码管中显示的数字。

这个过程是编码的逆过程,也称为译码。

译码器是一种逻辑电路,其输出与输入代码有唯一的对应关系。


74LS47N中,输出是低电平有效的,意味着当某个输入信号被激活时,对应的输出信号将为低电平。

这种设计使得它能够与数码管配合使用。

另外,这里还提供了一个真值表,列出了74LS47N与数码管之间的关系。

通过这个真值表,可以清楚地看到每个输入信号对应的输出信号是什么,以及这些信号如何控制数码管的显示。

以上内容仅供参考,如需了解更多信息,建议查阅相关书籍或咨询专业技术人员。

BCD七段显示译码器的设计报告

BCD七段显示译码器的设计报告

实验二、BCD七段显示译码器的设计一、实验目的学习利用VHDL语言设计BCD七段显示译码器的方法,掌握BCD七段显示译码器的设计思路:掌握软件工具的使用方法。

二、实验原理三、实验内容1.用VHDL语言设计BCD七段显示译码器,进行编译、波形仿真及器件编程。

(代码一详见附录)产生仿真波形如下:BCD七段显示译码器仿真1分析:问:给定的代码驱动的是共阴极还是共阳极的七段数码管显示器?由BCD七段显示译码器真值表可知是共阴极七段数码管显示器。

2.用VHDL语言设计3-8译码器,进行编译、波形仿真及器件编程。

(代码二详见附录)产生仿真波形如下:3-8译码器仿真1四、实验心得在实验中,通过BCD七段显示译码器真值表真值表得到BCD七段显示译码器case 代码。

同理通过8-3译码器真值表修改BCD七段显示译码器case代码得到8-3译码器case代码。

附录代码一(BCD七段显示译码器代码):library ieee;use ieee.std_logic_1164.all;entity bcdym isport (a:in std_logic_vector(3 downto 0);y:out std_logic_vector(6 downto 0));end bcdym;architecture zhang of bcdym isbeginprocess(a)begincase a iswhen"0000"=>y<="0111111";when"0001"=>y<="0000110";when"0010"=>y<="1011011"; when"0011"=>y<="1001111"; when"0100"=>y<="1100110"; when"0101"=>y<="1101101"; when"0110"=>y<="1111101"; when"0111"=>y<="0100111"; when"1000"=>y<="1111111"; when"1001"=>y<="1101111"; when"1010"=>y<="1110111"; when"1011"=>y<="1111100"; when"1100"=>y<="0111001"; when"1101"=>y<="1011110"; when"1110"=>y<="1111001";when others=>y<="1110001";end case;end process;end zhang;代码二(8-3译码器代码):library ieee; use ieee.std_logic_1164.all;entity bm3_8 isport(a:in std_logic_vector(0 to 2);b:out std_logic_vector(0 to 7)); end bm3_8;architecture zhang of bm3_8 isbeginprocess(a)begincase a iswhen"000"=>b<="00000001";when"001"=>b<="00000010";when"010"=>b<="00000100";when"011"=>b<="00001000";when"100"=>b<="00010000";when"101"=>b<="00100000";when"110"=>b<="01000000";when others =>b<="10000000"; end case;end process;end zhang;。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

BCD七段数码管显示译码器电路
7段数码管又分共阴和共阳两种显示方式。

如果把7段数码管的每一段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路74LS48的相对应的驱动端上(也是abcdefg)!此时若显示数字1,那么译码驱动电路输出段bc为高电平,其他段扫描输出端为低电平,以此类推。

如果7段数码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。

共阳就是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS47相应的abcdefg输出端上。

无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7段译码管烧坏了!限流电阻的选取是:5V电源电压减去发光二极管的工作电压除上10ma到15ma得数即为限流电阻的值。

发光二极管的工作电压一般在1.8V--2.2V,为计算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长了发光管易烧坏!对于大功率7段数码管可根据实际情况来选取限流电阻及电阻的瓦数!
发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。

分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。

外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。

只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。

图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。

使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。

BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4—7译码器。

若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。

例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。

同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。

MSI BCD七段译码器就是根据上述原理组成的,只是为了使用方便,增加了一些辅助控制电路。

这类集成译码器产品很多,类型各异,它们的输出结构也各不相同,因而使用时要予以注意。

图4-17(c)是BCD七段译码器驱动LED数码管(共阴)的接法。

图中,电阻是上拉电阻,也称限流电阻,当译码器内部带有上拉电阻时,则可省去。

数字显示译码器的种类很多,现已有将计数器、锁存器、译码驱动电路集于一体的集成器件,还有连同数码显示器也集成在一起的电路可供选用。

74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的
显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。

74ls48引脚图。

相关文档
最新文档