数电综合实验报告2--交通灯
数字电路-交通灯控制器-实验报告
课题1.4.2:交通灯控制器一.设计课题的任务要求:(一)、实验目的1. 熟练掌握VHDL 语言和QuartusII 软件的使用;2. 理解状态机的工作原理和设计方法;(二)、相关知识本实验要利用CPLD 设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。
控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。
路口交通灯控制系统的有东西路和南北路交通灯R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。
设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。
提供系统正常工作/复位和紧急情况两种工作模式。
(三)、实验任务1.基本任务:设计制作一个用于十字路口的交通灯控制器。
1). 南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20 秒、5 秒和25 秒;2). 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,继续正常运行;3). 用数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间;二.系统设计(包括设计思路、总体框图、分块设计)(一)设计思路1.总体设计----输入部分:1)CLK时钟频率输入,可由实验板上直接提供,为准确确定时间长度,选择1024Hz信号。
2)紧急状态按键拨码开关EMERGENCY,当将其置为高电平,表示紧急情况发生,两个方向均为红灯亮,计时停止;当置其为低电平,信号灯和计时器恢复原来状态,正常工作。
3)复位拨码开关RESET,当将其置为高电平,表示复位,工作停止,全部回到初始状态;当置其为低电平,重新开始工作。
2.总体设计----输出部分:1)东西方向和南北方向各使用3个LED显示,LIGHT1,LIGHT2,红黄绿各代表红黄绿灯。
数电交通灯仿真报告
数电课程设计----交通灯姓名:班级:学号:学院:指导老师:目录一.摘要 (01)二.设计要求 (02)三、设计思路概述 (03)四.部分电路简介 (04)五.交通灯Multisim仿真图 (10)六、电路组装完成后的测量 (11)七、调试过程中问题解决的方法 (14)八、心得体会 (15)交通灯课程设计一、摘要交通灯控制器是可以自动控制交通灯,并以倒计时的方式显示出时间,方便行人和车辆在通行时有条不紊的通行,达到交通井然有序,出行人员安全快捷的到达目的地的效果。
本次实验的就是想通过这样的一个实例,来结合数字电路课程的学习共同实现这样的一个应用工具,达到理论和实践相结合的目的。
二、设计要求:交通灯控制电路设计要求:(1)交通灯控制电路在一个循环周期内要使红、黄、绿三色灯依次点亮24s、4s、20s;(2)如调整时钟周期为4秒,则红、黄、绿三色灯点亮时间内所包含的时钟周期个数依次为6、1、5,即一个循环周期内共12个时钟周期;(3)时间计数的个位和十位分别用两个数码管显示,计数以秒为单位,采用倒计时方式计数;(4)电路设计采用常规74 序列中规模组合逻辑电路和时序逻辑器件实现,要求用扭环形计数器作为定时控制器三、设计思路概述:1.任务分析:交通灯控制器主要实现两部分功能:①东西、南北双向通路的红、绿、黄灯控制;②东西向主通路的倒计时显示。
另外,在此设计中还实现了紧急情况下的强制中断功能。
2.实现方案:实现这个交通灯控制器可以采用PLD、FPGA、单片机等可编程器件或基本数字逻辑器件实现。
在这次实验中采用74系列数字集成芯片实现相应功能,3.具体功能分析:此电路为十字路口交通灯控制电路,要求东西向和南北向不能同时出现绿(黄)灯,发生“撞车”现象。
即当某一方向为绿灯或黄灯时,另一方向必为红灯。
东西向主通路有倒计时显示。
4.电路框图设计:四 :部分电路简介:1)模12扭环形计数器如图所示,两片74LS194扩展成了8位右移移位寄存器,根据扭环计数器构成规则可知,电路接成了右移模12的计数器01×五:mulisim仿真心得体会:这次课程设计是对这学期数字逻辑课程所学内容的一次综合练习,从中不仅强化了我对教材中知识的理解和掌握。
数电实习报告——交通灯控制器综述
数字电路课程设计报告书---交通灯控制器院系名称:计算机学院学生姓名:李丽实习时间:2012年6月4日至2012年6月15日交通灯控制器的设计一、交通灯的功能概述在每个交通十字路口都设有一个交通灯,来控制车辆的通行,主干道通行时间30S(即主干的绿灯亮30S,次干道的红灯30s),黄灯5s,车辆停止时间20s(即主干道红灯20s,次干道的绿灯20s)。
二、实验要求一个主干道和支干道所组成的路口,每边都设置红、绿、黄三个交通灯,主干道车通行30秒,支干道车通行20秒。
为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。
其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。
通过设计数字逻辑电路,在面包板上模拟交通灯信号控制系统。
要求分主干道和支干道,每条道上安装红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry)。
在交通灯处在不同的状态时,设计以倒计时方式显示的计时器实现倒计时功能提示,并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒。
三、使用元件工具:镊子,钳子各一个;数字万用表一台,若干导线四、总体方案(1).设计原理但是根据交通灯控制的要求,只有以下四种情况可能出现:(2).实现方案的选择1.方案一实验原理:通过一个驱动三个计时电路。
这时译码电路和数码管只有一个对应的同步显示计时内容。
当这个时间段计完后,给状态控制电路输出脉冲。
这时状态向后变化一个。
同时又通过控制器的输出端控制红绿灯电路,又根据状态确定那个计时器工作。
这样就完成了一个计数单元的工作周期。
方案总结:优点就是原理上易于实现,因为计数器分为三个,在原理逻辑上更直接。
但缺点也很明显,就是浪费了大量的元器件,来重复实现一个模块的功能,这是一种浪费。
在工程上是绝不允许的,而且,元器件多了,连接电路时易发生错误。
数电课程设计报告(交通灯)
前言现如今,随着人口和汽车的日益增长,城市交通日益拥挤,人们的安全问题也日益重要。
因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。
交通信号灯常用于十字路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。
有了交通灯人们的安全出行有了很大的保障。
自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。
尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。
本设计通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。
因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。
本实验设计目的是培养数字电路的能力,掌握交通信号灯控制电路的设计方法。
设计任务及要求设计一个十字路口的交通灯定时控制系统,基本要求如下:(1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。
(2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。
(3)黄灯亮时,要求每秒钟闪亮一次。
(4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数;(5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。
本设计由王宇同学完成。
由于所学知识有限,设计中难免出现错误,请老师批评指正。
目录第一章设计任务及设计目的 (1)第二章系统概述 (2)2.1 系统概述 (2)2.2 交通灯逻辑分析 (2)2.3总体设计方案 (2)第三章单元电路设计与分析 (5)3.1秒脉冲信号发生器的设计 (5)3.2定时器的设计 (5)3.3 控制器的设计 (6)3.4 显示电路的设计 (9)第四章综述及心得体会 (10)4.1 系统综述 (10)4.2 总结及心得体会 (10)附录 (12)附录一实验电路图 (12)附录二芯片引脚图 (13)附录三元器件清单 (16)附录四焊接电路板 (17)参考文献 (18)交通灯定时控制系统的设计、制作摘要:在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。
数字电路课程设计报告—交通灯
数字电路课程设计--交通灯控制器的设计院系:姓名:指导教师:完成日期:2011年6月7日数字电路课程设计--交通灯控制器的设计一、课程设计目的1.熟悉集成电路的引脚安排2.掌握各芯片的逻辑功能及使用方法。
3.了解数字交通灯控制电路的组成及工作原理4.学会用仿真软件对设计的原理图进行仿真。
二、设计要求及原理:要求:设计一个主要街道和次要街道十字路口的交通灯控制器。
主要街道绿灯亮6s,黄灯亮2s;次要街道绿灯亮3s,黄灯亮1 s。
依次循环。
当主要街道亮绿灯和黄灯时,次要街道亮红灯(8s),当次要街道亮绿灯和黄灯时,主要街道亮红灯(4 s)。
用MG,MY,MR,CG,CY,CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。
原理:根据设计要求可知,各灯状态转换的周期为12s,因此可设计一个12进制的加计数器,来控制秒数,当计数值达到1011时,通过反馈置数法,将计数器清零,从而达到循环效果。
列出每秒各灯亮的情况的真值表,通过真值表得到相应的逻辑图,便可实现对交通灯的控制。
三、设计步骤:1、根据设计要求列出交通灯控制器的真值表如下:交通灯控制器真值表:QD QC QB QA MG MY MR CG CY CR 0 0 0 0 1 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 1 0 1 0 0 0 0 1 0 0 1 1 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 1 0 1 0 1 1 0 0 0 0 1 0 1 1 0 0 1 0 0 0 10 1 1 1 0 1 0 0 0 11 0 0 0 0 0 1 1 0 01 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 1 1 0 0 1 0 1 1 0 0 1 0 1 0 1 1 0 0 X X X X X X 1 1 0 1 X X X X X X 1 1 1 0 X X X X X X 1 1 1 1 X X X X X X2、从元器件库中拖出逻辑转换仪,根据交通灯控制器的真值表,获得MG的最简逻辑表达式。
数字系统课程设计 交通灯 实验报告
交通灯控制电路摘要在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。
当然我们每个人都不希望这样。
我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。
我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。
并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。
一、任务在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。
现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。
红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。
要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。
指挥车辆安全通行。
设计要求1、基本要求(1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。
主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。
支干道通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。
(2)每次绿灯变红灯时,要求黄灯先亮5秒钟。
此时另一路口红灯也不变。
(3)黄灯亮时,要求黄灯闪烁,频率为1Hz。
2、发挥部分要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。
二、设计方案选取与论证1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、CD4017芯片和NE555芯片等的集成电路。
根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。
数字电子技术课程设计实验报告-交通信号灯控制电路设计
数字电子技术课程设计实验报告题目:交通信号灯控制电路设计专业:班级:学号:姓名:指导老师:时间:一、设计任务及要求为了确保十字路口的车辆顺利通过,往往采用自动控制的交通灯信号灯来进行指挥。
(1)其中红灯(R)亮表示该条道路禁止通行;(2)黄灯(Y)亮表示停车,绿灯(G)亮表示允许通行;(3)黄灯亮时要求每秒钟闪亮一次;(4)东西、南北方向除了有红(R)、黄(Y)、绿(G)灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法);二、课程设计实验预习要求(1)复习数字系统设计基础。
(2)复习多路数据选择器、二进制同步计数器的工作原理。
(3)根据交通灯控制系统框图,画出完整电路图。
三、设计原理与电路1.分析系统逻辑功能,画出系统框图控制系统原理图交通灯原理控制如上图所示,它主要由秒脉冲发生器、定时器、译码器、控制器等部分组成。
秒脉冲发生器是本实验中控制器和定时器的标准时钟信号源,译码器输出两组信号灯控制信号,经驱动电路驱动后驱动信号灯工作,控制器是系统的主要部分,由它接受来自定时器的信息后控制译码器工作。
2.单元电路的设计1)控制器控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作。
(1)交通灯的四种工作状态的转变是由控制器进行控制转换的,它们的工作方式满足如右图顺序工作流程,设东西向的红、黄、绿灯分别为EW(R)、EW(Y)、EW(G),南北向的红、黄、绿灯分别为NS(R)、NS(Y)、NS(G)。
状态1:东西方向车道的绿灯亮,车道通行;南北方向车道的红灯亮,车道禁止通行。
状态2:东西方向车道的黄灯亮,车道缓行;南北方向车道的红灯亮,车道禁止通行;状态3:东西方向车道的红灯亮,车道禁止通行;南北方向车道的绿灯亮,车道通行;状态4:东西方向车道的红灯亮,车道禁止通行;南北方向车道的黄灯亮,车道缓行;四个状态用时所占比例分别为5:1:5:1,所以,计数器每次工作的循环周期为12,所以可以选择12进制计数器。
数电实验——交通灯
实验8 综合实验——交通控制灯一、实验目的设计一个电路,用于十字路口的车辆控制的交通灯,自己可以随意发挥,按照实际情况设计一个可以用于十字路口的交通灯。
用仿真软件进行仿真。
二、实验仪器74LS192,74LS47,74LS00,74LS11,74LS32,74LS04,74LS10,74 LS161,以及数码管。
三、实验原理设两个路口分别为甲、乙路口,根据实际情况,则应该有以下四种情况:(1)甲路口红灯亮,乙路口绿灯亮。
(2)甲路口红灯继续亮,乙路口黄灯亮。
(3)甲路口绿灯亮,乙路口红灯亮。
(4)甲路口黄灯亮,乙路口红灯继续亮。
经过分析,决定用74LS192来进行减法计数,然后用74LS161的计数输出来控制数码管的CA端,即控制数码管是否点亮。
74LS161的计数脉冲由74LS192的输出通过一系列逻辑门电路来控制,使其每5秒输出一个脉冲。
然后通过74LS161的输出通过逻辑门电路与数码管相连,来控制哪个数码管亮以及亮多长时间。
具体情况如下表:注意:74LS161设计的为12进制计数器。
74LS161的CLK 端的输入为:CLK=A ⊕C+B+D (D,C,B,A 分别为74LS192的个位片的输出端口,顺序为由高到低) 以下为个数码管的CA 端输入:甲路口红灯为:JR=)()(D B D C+∙+甲路口黄灯为:JY=ABD 甲路口绿灯为:JB=DB BD A CB ∙∙乙路口红灯为:YR= ABD+DB BD AC B ∙∙乙路口黄灯为:YY=)()(A B D C D++∙+乙路口绿灯为:YB=C B A 设计出来的实验电路图:4L S 32N四、 实验内容按照自己所想的,在multisim中连接电路,经过好多次的尝试之后,有了些眉目了,连接好电路之后,终于可以按照自己的想法实现电路的功能了。
不过在连接过程中发现,当数码管的数量增加时,电路的处理速度明显的变慢,而且还出现显示不稳定,不该显示的数码管有些跳动的现象。
数字系统课程设计报告-交通灯-实验报告
交通灯控制电路摘要在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。
当然我们每个人都不希望这样。
我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。
我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。
并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。
一、任务在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。
现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。
红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。
要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。
指挥车辆安全通行。
设计要求1、基本要求(1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。
主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。
支干道通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。
(2)每次绿灯变红灯时,要求黄灯先亮5秒钟。
此时另一路口红灯也不变。
(3)黄灯亮时,要求黄灯闪烁,频率为1Hz。
2、发挥部分要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。
二、设计方案选取与论证1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。
根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。
数电交通灯设计实验报告
数电交通灯设计实验报告数电实验大作业题目:交通灯控制姓名:班级:学号:一.项目概况设计一个十字路口的交通灯定时控制系统,基本要求如下:(1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。
(2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。
(3)黄灯亮时,要求每秒钟闪亮一次。
解决思路:有甲乙两个车道,所以共有红黄绿,三种交通信号灯各两个,暂命名为red,red1,green,green1,yellow,yellow1。
通过分析可以知道,交通灯有四种状态,如下:S1状态:green亮,red1亮25秒S2状态:yellow亮,red1亮 5秒S3状态:red亮,green1亮25秒S4状态:red亮,yellow1亮 5秒不难发现,这四个状态循环转换,周期为60s,所以解题思路自然就出来了,用两片74161,构成一个60的计数器,然后根据不同灯的特点,画出其卡诺图,将每个灯用门电路表示,最终获得结果。
方案设计具体设计原理图如下:由74161构成的计数器的原理图而后根据不同时间亮灯情况,可以画出六个灯的门电路(通过卡诺图),下面是三个灯的原理图。
此为yellow的门电路原理图此为green的原理图最终将所有门和计数器连接在一起。
构成了交通灯电路。
此为最终的原理图设计与调试在连接六个灯的门电路的时候,因为连线过于复杂,导致了一根线将两三个输入端接在一起的情况,导致了quartus的报错,解决办法是重新布线,合理安排空间结构,最终解决了问题。
结论这是最终仿真结果,1是用来设置为10进制,观察60s内,每秒灯的情况,如上图所示,绿灯从0~24s亮,接下来5s黄灯亮,每隔一秒闪烁,接下来红灯亮,所有基本功能全部实现。
优势是现象明显精确度高,不足是仍有许多毛刺。
数电 电子课设 交通灯课程设计报告2
数字电子技术课程设计设计课题:交通灯设计学生姓名:学生学号:专业班级:学院名称:电气与信息工程学院指导老师:目录一、课程设计任务及要求-------------------------------------------------------3二、系统原理框图-----------------------------------------------------------------3三、模块功能描述------------------------------------------------------------------4 1主控制器--------------------------------------------------------------------------4 2 LCD显示模块-------------------------------------------------------------------4 3蜂鸣器模块----------------------------------------------------------------------54.系统顶层模块-------------------------------------------------------------------5四、程序-------------------------------------------------------------------------------5 1主控制器-------------------------------------------------------------------------5 2 LCD显示模块-------------------------------------------------------------------92 蜂鸣器模块--------------------------------------------------------------------11五、硬件使用说明总体仿真----------------------------------------------------12六、心得体会----------------------------------------------------------------------12七、附(主控制器功能仿真图)----------------------------------------------13八、教师评语----------------------------------------------------------------------15一、任务及设计要求1.用LCD显示字母R、Y、G,别代表红黄绿三种通行状态,主干道东西向,支干道B为南北走向。
数电实训报告交通灯体会
一、前言随着我国经济的快速发展,城市交通日益拥堵,交通安全问题日益突出。
为了提高城市交通效率,保障人民群众的生命财产安全,交通信号灯作为城市交通管理的重要手段,其设计与应用显得尤为重要。
本次数电实训,我选择了交通灯控制系统作为研究对象,通过实际操作和理论分析,我对交通灯控制系统有了更加深入的了解和认识。
二、实训目的1. 掌握交通灯控制系统的基本原理和设计方法;2. 熟悉数字电路在实际应用中的设计流程;3. 培养动手能力和团队协作精神;4. 提高解决实际问题的能力。
三、实训内容1. 交通灯控制系统的组成交通灯控制系统主要由控制器、信号灯、传感器、电源等组成。
控制器是系统的核心,负责对信号灯进行控制,实现交通灯的亮灯顺序和持续时间。
信号灯包括红灯、绿灯和黄灯,分别代表停止、通行和警示。
传感器用于检测车辆和行人的通行情况,将信号传输给控制器。
电源为整个系统提供能量。
2. 交通灯控制系统的设计交通灯控制系统采用数字电路设计,主要包括以下步骤:(1)设计交通灯控制逻辑根据交通灯的工作要求,设计交通灯控制逻辑,包括绿灯亮、黄灯亮、红灯亮的时间比例以及各灯之间的切换顺序。
(2)设计计数器计数器用于记录时间,实现交通灯亮灯顺序和持续时间。
根据设计要求,选择合适的计数器,如4位二进制计数器。
(3)设计译码器译码器将计数器的输出转换为相应的信号,控制信号灯的亮灭。
根据设计要求,设计译码器,将计数器的输出转换为红灯、绿灯和黄灯的信号。
(4)设计驱动电路驱动电路用于驱动信号灯,使其按照控制逻辑工作。
根据信号灯的功率和电压要求,设计驱动电路。
3. 交通灯控制系统的实现(1)电路设计根据设计要求,绘制交通灯控制系统的电路图,包括控制器、计数器、译码器和驱动电路等。
(2)电路制作根据电路图,制作交通灯控制系统的电路板,焊接元器件。
(3)系统调试将制作好的电路板安装在实验箱中,进行系统调试,确保交通灯控制系统正常运行。
四、实训体会1. 理论与实践相结合通过本次实训,我深刻体会到理论与实践相结合的重要性。
数电课程设计交通灯设计报告
交通灯设计报告课程名称:数字电子技术设计名称:交通灯的设计班级:000学号:姓名:指导教师:2102年12月21日目录1.设计目的及要求22.设计原理及参考电路图 23.单元电路设计 44.原件清单74.仿真模拟85.心得体会86.参考文献9题目:交通灯控制器一实验目的1.综合应用数字电路知识设计一个交通灯控制器。
了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。
2.深入了解交通灯的工作原理。
二设计要求1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。
2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为15秒,另一个方向上绿灯亮的时间是25秒,黄灯亮的时间都是5秒。
3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。
三设计原理及其参考图1.分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图如图所示。
它主要由控制器、定时器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。
2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。
表示主干道上的车辆允许通行,支干道禁止通行。
(2)主干道黄灯亮,支干道红灯亮。
表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。
(3)主干道红灯亮,支干道绿灯亮。
表示主干道禁止通行,支干道上的车辆允许通行。
(4)主干道红灯亮,支干道黄灯亮。
表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。
交通灯以上4种工作状态的转换是由控制器器进行控制的。
设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示:控制状态信号灯状态车道运行状态S0(00)主绿,支红主干道通行,支干道禁止通行S1(01)主黄,支红主干道缓行,支干道禁止通行S3(11)主红,支绿主干道禁止通行,支干道通行S2(10)主红,支黄主干道禁止通行,支干道缓行四单元电路的设计1)秒脉冲产生电路脉冲产生2)主控电路在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。
数字电路交通灯课程设计实验报告
理学院《数字电子技术基础》课程设计报告课题:交通灯控制器专业:电子信息科学与技术(20081421)组员:熊娟娟(2008142123)孙兵(2008142106)指导教师:陈明完成时间: 11年6月5日一、设计任务及分析1、设计要求:设计一个主要街道和次要街道十字路口的交通灯控制器。
主要街道绿灯亮6s ,黄灯亮2s ;次要街道绿灯亮3s ,黄灯亮1 s 。
依次循环。
2、任务分析:当主要街道亮绿灯和黄灯时,次要街道亮红灯(8s),当次要街道亮绿灯和黄灯时,主要街道亮红灯(4 s)。
用MG ,MY ,MR ,CG ,CY ,CR 分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。
在此基础,我们增加了数码管倒计时显示模块,达到更加直观显示的目的。
二、设计目的和原理1、设计目的:训练学生综合运用所学《数字逻辑》的基本知识,使用电脑EWB 仿真技术,独立完整地设计一定功能的电子电路、以及仿真和调试等的综合能力。
这次实验主要是利用Multisiml 软件来实现整个电路的设计、功能调试以及实验结果显示的功能。
2、设计原理:三、设计内容及步骤1、根据设计要求列出交通灯控制器的真值表如表1所示。
2、利用Multisim 软件的逻辑分析仪得到最简表达式,从而设计出交通灯的控制模块电路,从而得到dengkong 电路及封装芯片如下:秒脉冲发生器根据真值表转换的芯片(dengkong)控制交通灯根据真值表得到数码管控制芯片(DSQ)交通灯指示数码管显示倒计数的指示信号设计原理图MGMYCGCYCRQC QB QD QAMRQDQAQBQC CR CY CG MY MG 109876543213、附加功能,让交通灯能倒计时显示红、绿、黄灯显示所剩时间。
得到真值表如下:Q D Q C Q B Q A MG1MY1MR1CG1CY1CR10 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 x x x x x x x x x x x x x x x x x x x x x x x x 1 1 0 1 x x x x x x x x x x x x x x x x x x x x x x x X 1 1 1 0 x x x x x x x x x x x x x x x x x x x x x x x x 1111x x x x x x x x x x x x x x xX x x x x x x xdengkong 电路图:dengkong 封装芯片:4、根据真值表分别得到MG1、MY1、MR1、CG1、CY1、CR1的封装芯片: Ⅰ、MG1封装芯片及内部电路如下:MG3MG2MG1QDQCQBQAQB QC QD MG1MG2MG3242322182120191716159141312111087615243QAⅡ、MY1封装芯片及内部电路如下:QCQBMY2MY1QAQAMY1MY2QBQC 321Ⅲ、MR1封装芯片及内部电路如下:QD MR3MR2MR1QB QAMR1MR2MR3987532146QBQAQDⅣ、CG1封装芯片及内部电路如下:Ⅴ、CY1封装芯片及内部电路如下:Ⅵ、CY1封装芯片及内部电路如下:X5CY1QDIO1QBIO2QA IO3CY1IO4QB QA QD CG1QA CG2QB 12QD QB QA CY1QAQB 1QDCR4CR3QD QC QB QAQCCR1CR2CR3CR4252423221820191716151413111078961524321QA QBQD12MG1芯片用来控制主通道绿灯点亮时间并且保持在6秒的时间,MY1用来控制主通道黄灯点亮时间并且保持2秒的时间。
数字电路实验-交通灯控制器-19页文档资料
数字电路实验报告交通灯控制器一、 任务要求设计制作一个用于十字路口的交通灯控制器。
①南北和东西方向各有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20 秒、5 秒和25 秒;②当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,③当特殊情况结束后,控制器恢复原来状态,继续正常运行;④用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间;·选做:增加左、右转弯显示控制功能; ·选做:其它自拟功能。
二、系统设计利用有限状态机描绘出交通灯的状态转移图,并设置记录东西和南北路口可通行时间的全局变量count1,count2。
状态间的转移以count1,count2的值作为判断条件。
对于两种特殊情况:当复位信号reset 为高电平时,则回到最初状态;当紧急输入信号emergency 为高电平时,则转移到一特殊状态,emergency 结束后则返回当前状态。
三、总体框图及分块设计① 交通灯控制器的逻辑划分方块图 ② 交③交通灯控制器的状态转移图其中图中T20 T10 T5分别是直行,左转和黄灯的时间,通过判断其是否达到所规定的时间来给灯作为改变的条件,程序已交通灯分别初始化为绿灯和红灯。
另外,在reset信号为高电平时,不论其他状态和信号如何,系统回到最初状态。
在reset信号为低电平且emergency为高电平时,两个方向交通灯均为红灯警铃响起,直到emergency恢复低电平时,系统恢复到emergency刚快要出现时系统的状态,并会到之前状态。
2.分块设计在我的实验设计中,整个交通灯控制器包括5个模块:①控制器模块control;②计数模块catcontol;③分频模块fenpin1和fenpin2;④点阵DZ;⑤数码管显示译码模块show;⑥警铃控制器bellcontrol;⑦主函数。
现对各个模块的设计作一简要阐述:①控制器模块control:这是整个程序的核心模块,控制着交通灯状态的转移和交通灯通行时间count1和count2数值的改变,该模块是交通灯状态转移图的程序实现。
数电交通灯实训报告
本次数电交通灯实训旨在通过实际操作,使学生掌握数字电路的基本原理和应用,熟悉交通灯控制系统的设计流程,提高学生解决实际问题的能力。
通过实训,学生能够了解交通灯控制系统的基本组成、工作原理以及设计方法,为后续相关课程的学习和实践打下坚实的基础。
二、实训内容1. 交通灯控制系统组成交通灯控制系统主要由以下几个部分组成:(1)控制器:负责控制交通灯的运行状态。
(2)信号灯:包括红灯、黄灯和绿灯,分别对应车辆和行人的通行情况。
(3)传感器:用于检测车辆和行人的通行情况,如红外传感器、地感线圈等。
(4)驱动电路:将控制信号转换为驱动信号,驱动信号灯和传感器等设备。
2. 交通灯控制系统工作原理交通灯控制系统采用定时控制方式,根据预设的时间顺序依次切换红灯、黄灯和绿灯。
具体工作原理如下:(1)控制器根据预设的时间顺序,依次输出控制信号。
(2)驱动电路将控制信号转换为驱动信号,驱动信号灯和传感器等设备。
(3)传感器检测车辆和行人的通行情况,并将信号反馈给控制器。
(4)控制器根据传感器反馈的信号,调整交通灯的运行状态。
3. 交通灯控制系统设计本次实训采用数字电路设计交通灯控制系统,主要包括以下几个步骤:(1)设计交通灯控制器的逻辑电路。
(2)设计驱动电路。
(3)设计传感器电路。
(4)设计电路板并进行焊接。
1. 设计交通灯控制器的逻辑电路根据交通灯控制系统的要求,设计交通灯控制器的逻辑电路。
首先,分析交通灯控制器的输入输出关系,列出真值表。
然后,根据真值表,设计相应的逻辑电路,如组合逻辑电路、时序逻辑电路等。
2. 设计驱动电路根据交通灯控制器的逻辑电路,设计驱动电路。
驱动电路的主要作用是将控制信号转换为驱动信号,驱动信号灯和传感器等设备。
驱动电路的设计主要包括以下几个方面:(1)选择合适的驱动器件,如三极管、MOS管等。
(2)设计驱动电路的电路图。
(3)进行电路仿真,验证驱动电路的性能。
3. 设计传感器电路根据交通灯控制系统的要求,设计传感器电路。
数字逻辑交通灯实验报告
实验报告一、实验课题交通灯控制器二、任务要求在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序的通行。
具体要求如下:(1)在十字路口的两个方向上各设一组红绿黄灯。
(2)每个方向设置一组数码光,以倒计时的方式显示允许通行或禁止的时间。
可以自设时间。
(3)允许当特殊情况出现时,比如紧急状态,个方向上均是红灯亮,且显示数字在闪烁。
或者模拟夜间黄灯闪烁。
三、设计方案整个系统分为控制器模块、分频器模块、译码器模块。
1.控制器模块:控制器分为两个,A,B两路各一个,分别为ALU,BLU。
以A路为例,灯亮顺序为:红30秒 黄5秒绿25秒,并有特殊情况按键输入---------special。
当special=0时,交通灯正常显示,为1时候,两路全红灯。
代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Alu isport(clk,special:in std_logic;ar,ag,ay:out std_logic; ----红、绿、黄timas,timag:out std_logic_vector(3 downto 0)); ----十位、个位计数end Alu;architecture alu_arc of Alu istype rgly is(red,green,yellow); ---灯亮顺序为红30 黄5 绿25beginprocess(clk)variable a:std_logic; ----变量声明variable ts,tg:std_logic_vector(3 downto 0);variable state:rgly;beginif special='1' then ar<='1';elsif clk'event and clk='1' then ---高电平case state iswhen red=>if a='0' then --红灯状态ts:="0010"; --十位计2tg:="1001"; --个位计9a:='1';ag<='0';ay<='0';ar<='1'; -------------------------------------------------红灯先亮elseif not(ts="0000" and tg="0001") then --若计数值不为1if tg="0000" then --若个位为0tg:="1001"; --个位置9ts:=ts-1; --十位自减1elsetg:=tg-1; --个位自减1end if;elsets:="0000";tg:="0000";a:='0';state:=yellow;----转为黄灯状态end if;end if;when yellow=>if a='0' then -----黄灯状态ts:="0000"; ---十位置0tg:="0100"; ----个位置9a:='1';ag<='0' ;ay<='1'; --------------------------------黄亮ar<='0';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=green;end if;end if;when green=>if a='0' then --------红灯状态ts:="0010"; ----十位置2tg:="0100"; ---个位置4a:='1';ar<='0';ay<='0';ag<='1';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1 ;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=red;end if;end if;end case;timas<=ts;timag<=tg;end if;end process;end alu_arc;BLU和ALU相似,亮灯顺序为:绿25秒,黄5秒,红30秒。
数字电路课程设计-交通灯实验报告
数字电路课程设计交通灯实验报告一、课程设计题目交通灯控制系统设计二、设计的任务和要求1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。
要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求;2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。
红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒。
三、系统总体设计方案及系统框图方案一:芯片设计(1)芯片功能及分配交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。
2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。
3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。
整个设计共由以上三部分组成。
(2)设计原理:1)总体方案如图:2)各单元电路的设计:1. 秒脉冲信号发生器时钟信号产生电路主要由555定时器组成震荡器,产生稳定的脉冲信号,送到状态产生电路,状态产生电路根据需要产生秒脉冲,电路图如下图所示:2.主控制电路D1=Q1/Q2+/Q1Q2(/表示取非) D2=/Q2 CLK=CO2 CLR和PR均置1.主控制电路可产生00---->01---->10---->11----00控制信号。
3.红绿灯显示电路电路图如图:4. 计时部分电路A ) 计时器状态产生模块:设计要求对不同的状态维持的时间不同,限于实验室器材只提供74LS161.因要以十进制输出,且有一些状态维持时间超过10秒,则必须用两个74LS161分别产生个位和十位的数字信号。
数电综合实验报告2--交通灯
数电综合实验报告2--交通灯数字逻辑与数字系统设计综合实验二——十字路口交通灯自动控制器的设计学院电子工程学院班级卓越001012班学号00101201姓名冉艳伟实验时间2012.6.8十字路口交通灯自动控制器的设计一、实验目的学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。
二、设计任务设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。
当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。
当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。
东西干道图1 路口交通管理示意图三、实验要求(1)按照设计任务设计,采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。
交通灯用发光二级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至正确为止。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。
要求:必须用可编程器件实现电路功能。
可以是原理图,也可以是VHDL代码,也可以混合输入。
五、设计说明(1)第一模块:CLK时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作,故对1MHz的时钟信号进行1000000分频。
模块说明:系统输入信号:CLKi: 由外接信号发生器提供1MHz的时钟信号;系统输出信号: CLK:产生每秒一个脉冲的信号;(2)第二模块:模90倒计数器按照实验要求,交通灯循环一次为90秒,且显示倒数的计数值,故设计一模90倒计数器通过主程序运算即可显示各路计数值。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电综合实验报告2--交通灯数字逻辑与数字系统设计综合实验二——十字路口交通灯自动控制器的设计学院电子工程学院班级卓越001012班学号00101201姓名冉艳伟实验时间2012.6.8十字路口交通灯自动控制器的设计一、实验目的学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。
二、设计任务设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。
当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。
当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。
东西干道图1 路口交通管理示意图三、实验要求(1)按照设计任务设计,采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。
交通灯用发光二级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至正确为止。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。
要求:必须用可编程器件实现电路功能。
可以是原理图,也可以是VHDL代码,也可以混合输入。
五、设计说明(1)第一模块:CLK时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作,故对1MHz的时钟信号进行1000000分频。
模块说明:系统输入信号:CLKi: 由外接信号发生器提供1MHz的时钟信号;系统输出信号: CLK:产生每秒一个脉冲的信号;(2)第二模块:模90倒计数器按照实验要求,交通灯循环一次为90秒,且显示倒数的计数值,故设计一模90倒计数器通过主程序运算即可显示各路计数值。
模块说明:系统输入:CLK: 接收由CLK电路的提供的1hz的时钟脉冲信号;reset:紧急情况输入系统输出信号: L1:倒计数值秒数十位变化控制信号;L0:倒计数值秒数个位变化控制信号;(3)交通灯主程序说明:系统输入:CLK: 接收由CLK电路的提供的1hz的时钟脉冲信号;reset:紧急情况输入系统输出信号:Lx:东西方向红绿黄灯控制信号;Ly:南北方向红绿黄灯控制信号;NUMx1:东西方向倒计数值秒数十位变化控制信号;NUMx0:东西方向倒计数值秒数个位变化控制信号;NUMx1:南北方向倒计数值秒数十位变化控制信号;NUMx0:南北方向倒计数值秒数个位变化控制信号;六、设计方案图2 交通灯控制系统示意图数据信号图2 交通信号灯控制器的原理框图采用VHDL语言输入的方式实现交通信号灯控制器数据图3 交通信号灯控制器程序原理框图七、程序清单(1)第一模块:CLK时钟秒脉冲发生电路entity defreq isport(CLKi:in std_logic;CLK:out std_logic);end defreq;architecture behav of defreq issignal q:integer range 999999 downto 0;beginprocess(CLKi,q)beginif(CLKi'event and CLKi ='1') thenif(q=999999) thenq<=0;elseq<=q+1;end if;end if;if (q<500000) thenCLK<='0';elseCLK<='1';end if;end process;end behav;(2)第二模块:模90倒计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity subcounter90 isport(CLK:in std_logic;reset:in std_logic;L1,L0:out std_logic_vector(3 downto 0) );end subcounter90;architecture behav of subcounter90 issignal lt1,lt0:std_logic_vector(3 downto 0); beginprocess(CLK,reset,lt1,lt0)beginif(reset='0') thenif(CLK'event and CLK ='1') thenif(lt0="0000") thenif(lt1="0000") thenlt1<="1000";lt0<="1001";elselt1<=lt1-1;lt0<="1001";end if;elselt0<=lt0-1;end if;end if;elselt0<=lt0;lt1<=lt1;end if;end process;L1<=lt1;L0<=lt0;end behav;(3)交通灯主程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity trafficlight isport(CLK:in std_logic;reset:in std_logic;Lx,Ly:out std_logic_vector(2 downto 0);NUMx1,NUMx0,NUMy1,NUMy0:out std_logic_vector(3 downto 0));end trafficlight;architecture structure of trafficlight issignallt1,lt0,NUMxt1,NUMxt0,NUMyt1,NUMyt0:std_logic_vector(3 downto 0);signal CLKt:std_logic;component defreqport(CLKi:in std_logic;CLK:out std_logic);end component;component subcounter90port(CLK:in std_logic;reset:in std_logic;L1,L0:out std_logic_vector(3 downto 0));end component;beginU1:defreq port map(CLK,CLKt);U2:subcounter90 port map(CLKt,reset,lt1,lt0);process(lt1,lt0,reset,NUMxt1,NUMxt0,NUMyt1,NUMyt0) beginif (reset='0') thenif(lt1>"0100") thenLx<="100";NUMxt1<=lt1-5;NUMxt0<=lt0;elsif(lt1="0100" and lt0>"0100") thenLx<="010";NUMxt1<=lt1-4;NUMxt0<=lt0-5;elseLx<="001";NUMxt1<=lt1;NUMxt0<=lt0;end if;if((lt1>"0100") or (lt1="0100" and lt0>"0100")) then Ly<="001";if(lt0>"0100") thenNUMyt1<=lt1-4;NUMyt0<=lt0-5;elseNUMyt1<=lt1-5;NUMyt0<=lt0+5;elsif((lt1>"0000") or (lt1="0000" and lt0>"0100")) then Ly<="100";if(lt0>"0100") thenNUMyt1<=lt1;NUMyt0<=lt0-5;elseNUMyt1<=lt1-1;NUMyt0<=lt0+5;end if;elseLy<="010";NUMyt1<=lt1;NUMyt0<=lt0;end if;NUMx1<=NUMxt1;NUMx0<=NUMxt0;NUMy1<=NUMyt1;NUMy0<=NUMyt0;elseLx<="001";Ly<="001";if(CLKt='0') thenNUMx1<=NUMxt1;NUMx0<=NUMxt0;NUMy1<=NUMyt1;NUMy0<=NUMyt0;elseNUMx1<="0000";NUMx0<="0000";NUMy1<="0000";NUMy0<="0000";end if;end if;end structure;八、仿真结果1.第一模块:CLK时钟秒脉冲发生电路(1)功能仿真:(2)时序仿真(2)第二模块:模90倒计数器(1)功能仿真:(2)时序仿真(3)交通灯总程序仿真(1)功能仿真:(2)时序仿真九、引脚设置接下来就要选择目标器件并对相应的引脚进行锁定了,引脚锁定方法如下图所示。
十、实验总结(故障排除与收获体会)综合设计实验对学生而言是其对所学课程内容掌握情况的一次自我验证,从而有着极其重要的意义。
通过设计能提高学生对所学知识的综合应用能力,能全面检查并掌握所学内容,我们进行了数字电路设计,老师命题,进行设计。