多功能数字钟设计报告

合集下载

多功能数字时钟的设计报告

多功能数字时钟的设计报告

多功能数字时钟的设计引言现代社会科技飞速发展,人们生活节奏加快,时间就是金钱,时间就是生命。

时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。

忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。

但是,一旦重要事情,一时的耽误可能酿成大祸。

20世纪末,电子技术得到飞速发展,各类电子产品相继出现在市场,电子产品主要朝着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。

数字化电子产品已渗入到人类生活的方方面面。

单片计算机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多输入输出口于一体的微控制器。

它体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。

而52 单片机是51单片机的升级版,功能更强大。

单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。

从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。

这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。

基于以上发展与现实需要本次设计课题就是基于单片机的设计-----基于单片机的多功能数字钟。

数字钟是采用数字电路实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义。

一、设计目的:1.了解数字时钟的组成及工作原理2.熟悉数字钟的设计与制作:1).由晶振电路产生1HZ标准秒信号。

多功能时钟设计实验报告

多功能时钟设计实验报告

多功能数字钟设计实验报告一.已知条件Quartus II9.1软件DE0实验开发装置二.设计内容及要求用Verilog HDL设计一个多功能数字钟基本功能时、分用数码管显示;秒用LED显示;小时用同步12/24进制;分秒计数器用同步60进制;手动校时、校分。

扩展功能任意闹钟;(1分)小时为12/24可切换。

(1分)报正点数(几点钟LED闪烁几下)。

(1分)另外:十进制加/减可逆计数器设计(p286)。

(2分)三.仿真环境说明软件环境:Quartus II 9.1硬件环境:硬件平台DE0,FPGA芯片为Cyclone ⅢEP3C16F484本实验除时钟源、按键、拨动开关和显示器(数码管)之外的所有数字电路功能都是用VHDL 语言实现的。

这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。

本设计采用自顶向下、混合输入方式(原理图输入——顶层文件连接和VHDL语言输入——各模块程序设计)实现数字钟的设计、下载和调试.四.系统框图与说明1.数字钟电路系统由主体电路和扩展电路两大部分所组成。

2. 秒计数器计到59后,下一秒到来时,秒计时器满60,接着清零后向分计数器进位;同样分计数器计满60后向小时计数器进位;小时计数器按照“24进制”规律计数,每当小时计到23小时时,当分计数和秒计数分别59,59时,即到23小时59分,59秒时候,时分秒全部清零,从新开始计数。

小时计数器按照“12进制”规律计数,每当小时计到23小时时,当分计数和秒计数分别59,59时,即到12小时59分,59秒时候,时分秒全部清零,小时为1,从新开始计数。

3. 计数器的输出经译码器送显示器,显示器用,4个数码管表示,每两个数码管分别表示小时,分钟。

秒钟用8个LED管显示,各4位用8421码显示一位十进制秒数。

模块:1.counter60: 该模块为60进制计数器,计时输出为秒或分的数值.由3个输入端CP,nCR,EN控制该模块2. counter24: 该模块为24-12转换计数器,计时输出小时的数值. change12_24为1时进行24hour计数,为0时进行12hour计数3.led_clock:此模块为整点报时提供控制信号.4.trans: 它是七段译码器.该模块对应不同的片选信号送出不同的要显示的数码管的译码后的信号。

多功能数字时钟设计报告

多功能数字时钟设计报告

题目:多功能数字钟设计学校:广东石油化工学院学院:机电工程学院班级:装控09--2姓名:黄亚冲学号:16一、设计任务多功能数字钟电路设计二、设计条件74LS00 4片,74LS48 6片,74LS74 1片,74LS90 5片,74LS92 2片,74LS191 1片,555 1个,数码显示器202 6片,电阻器 6个,电容器 4个,开关 2个三、设计要求①准确计时,以数字形式显示时、分、秒的时间。

②小时的计时要求为“12翻1”,分和秒的计时要求为60进制进位。

③校正时间。

4、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。

四、设计内容1.设计思想:数字钟主要分为数码显示器、60进制和12进制计数器、频率振荡器和校时这几个部分。

该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

计数器的输出经译码器送显示器。

计时出现误差时可以用校时电路进行校时、校分、校秒。

图1、多功能数字钟系统组成框图2.电路结构与原理图(1)振荡器振荡器可由晶振组成,也可以由555定时器组成。

图3是由555定时器构成的1KHZ的自激振荡器,其原理是0.7(2R3+R4+R5)C4=1ms,f=1/t=1KHZ。

计时是1HZ的脉冲才是1S计一次数,所以需要分频才能得到1HZ的脉冲。

图2、晶体振荡器图3、555振荡器(2)分频器的设计分频器电路,是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的信号,从而达到目的。

电路图见图4图4、分频器(3)小时计数器的设计——12进制计数器时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒,秒的个位计数器再输入一个秒脉冲是,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。

数字钟实验报告5篇范文

数字钟实验报告5篇范文

数字钟实验报告5篇范文第一篇:数字钟实验报告数字钟实验报告班级:电气信息i类112班实验时间:实验地点:指导老师:目录一、实验目的-----------------3二、实验任务及要求--------3三、实验设计内容-----------3(一)、设计原理及思路3(二)、数字钟电路的设计--------------------------4(1)电路组成---------4(2)方案分析---------10(3)元器件清单------11四、电路制版与焊接---------11五、电路调试------------------12六、实验总结及心得体会---13七、组员分工安排------------19一、实验目的:1.学习了解数码管,译码器,及一些中规模器件的逻辑功能和使用方法。

2.学习和掌握数字钟的设计方法及工作原理。

熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法。

3.了解pcb板的制作流程及提高自己的动手能力。

4.学习使用protel软件进行电子电路的原理图设计、印制电路板设计。

5.初步学习手工焊接的方法以及电路的调试等。

使学生在学完了《数字电路》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,学会检查电路的故障与排除故障的一般方法锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。

二、实验任务及要求1.设计一个二十四小时制的数字钟,时、分、秒分别由二十四进制、六十进制、六十进制计数器来完成计时功能。

2.能够准确校时,可以分别对时、分进行单独校时,使其到达标准时间。

3.能够准确计时,以数字形式显示时、分,发光二极管显示秒。

4.根据经济原则选择元器件及参数;5..小组进行电路焊接、调试、测试电路性能,撰写整理设计说明书。

三、实验设计内容1、设计原理及思路 3.1数字钟的构成数字钟一般由振荡器、分频器、计数器、译码器、显示器、较时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路3.2原理分析数字钟实际上是一个对标准频率(1hz)进行计数的计数电路。

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。

实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。

2. 按键输入:使用按键进行时间的调节和选择功能。

3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。

实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。

2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。

3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。

4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。

5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。

实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。

使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。

实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。

实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。

通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。

多功能数字时钟设计报告

多功能数字时钟设计报告

多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。

具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。

(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。

(3)整点报时:当时钟计时到整点时,能进行整点报时。

(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。

多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。

另外采用了总线的电路连线方式使电路图简洁美观。

1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。

(数电)多功能数字钟—设计报告

(数电)多功能数字钟—设计报告

1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。

②扩展功能:整点报时。

2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。

555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。

在功能方面,对于本次综合设计,还要求有校时与整点报时功能。

方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。

校时电路时用来对“时”、“分”显示数字进行校对调整。

3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。

脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

多功能数字电子钟设计报告

多功能数字电子钟设计报告

多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。

这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。

这个钟被设计成简单易用,具有时尚外观和实用性。

硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。

时钟控制部分还包括时钟校准和闹钟设置。

2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。

屏幕控制部分可以显示多种信息,具有时尚的外观和设计。

3. 传感器控制:使用DHT11温湿度传感器监测室内环境。

传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。

功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。

2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。

3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。

4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。

闹钟响铃时可以选择静音或自动关闭。

5. 操作简便:采用方便简单的按键操作设计,方便用户使用。

总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。

多功能数字时钟实验报告

多功能数字时钟实验报告

重庆交通大学开放性实验报告(A类)项目名称:多功能数字钟电路设计专业班级:电子2班学生姓名:何昕泽小组成员:何聪、范瑞目录多功能数字时钟设计 (3)摘要 (3)1. 系统原理框图 (4)2. 单元电路设计与仿真 (5)2.1时间脉冲产生电路 (5)2.2时间计数器电路 (6)2.3十二与二十四小时的切换 (8)2.4校时电路 (8)2.5报时电路 (9)2.6电路总图 (9)3. PCB板的制作 (10)3.1原理图的绘制 (10)3.2 PCB的制作 (11)3.3 PCB 图 (12)4. 心得与体会 (12)附录原件清单 (13)附件1仿真电路图 ............................ 错误!未定义书签。

附件2电路原理图 ............................ 错误!未定义书签。

附件3 PCB图.............................. 错误!未定义书签。

多功能数字时钟设计摘要数字电子钟实际上是一个对标准频率(1Hz )进行计数的计数电路。

由振荡电路形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时” “分”“秒”的数字显示出来。

秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。

一般由振荡电路、计数器、数码显示器等几部分组成。

振荡电路:主要用来产生时间标准信号,由NE555组成的多谐振电路产生,但是因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以一般采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。

计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时的进位信号。

校时器:由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。

多功能数字钟—数电课程设计报告

多功能数字钟—数电课程设计报告

1.设计任务与要求1.1产生1HZ的脉冲;1.2能显示时,分,秒,24小时进制;1.3可手动校正:能分别进行分、时的校正。

只要将开关置于手动位置。

可分别对分、时进行连续脉冲输入调整;1.4整点报时。

2.系统原理框图由振荡器输出稳定的高频脉冲信号作为时间基准,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“24翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒,可发挥部分:使数字钟具有可整点报时与定时闹钟的功能。

数字钟的结构框图如图1所示图1数字钟的结构框图3.设计方案与论证3.1时间脉冲产生电路方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

555与RC振荡电路如图2所示图1 555与RC组成的多谐振荡器图方案二:振荡器是数字钟的核心。

振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。

石英晶体振荡器的作用是产生时间标准信号。

因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。

石英晶体振荡电路如图3所示图 2 石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器门电路组成的振荡电路如图4所示图 3 门电路组成的多谐振荡器图用555组成的脉冲产生电路: R1=47kΩ,R2=47kΩ,C=10μF,则555所产生的脉冲的为:f=1/[(R1+2*R2)CLn2=1Hz,而设计要求为1Hz,在精度要求不是很高的时候可以使用。

石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。

由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。

多功能数字钟毕业设计报告

多功能数字钟毕业设计报告

多功能数字钟毕业设计报告江海学院毕业设计第一章多功能数字钟的概述1.1应用背景21世纪,我们将进入信息时代,在新技术和市场需求的共同作用下电子技术及其产业必将有高速的发展;电子技术分为数电电路和模拟电路,数电研究数字信号,比如像逻辑门等等,模拟电路主要讲的是各种功率放大电路等。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。

数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。

它是由数子钟电路、定时电路、放大执行电路、电源电路组成。

为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。

具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。

数字钟的技术实现时、分、秒计时的钟表。

与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。

数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。

这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。

数字钟广泛用于个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义,深受人们欢迎。

因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.1.2数字钟的功能近年来随着计算机在社会领域的渗透和大规模集成电路的发展,数字钟的应用越来越普及了,并且由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,使数字钟的技术在电子和一些自动化行业中应用也越来越广泛了。

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

eda多功能数字钟实验报告

eda多功能数字钟实验报告

eda多功能数字钟实验报告EDA多功能数字钟实验报告一、引言数字钟是现代生活中常见的一种时间显示工具,其准确性和便携性使其成为人们生活中不可或缺的一部分。

本实验旨在设计并制作一款多功能数字钟,通过EDA(电子设计自动化)软件进行模拟和仿真,验证其功能和性能。

二、设计原理1. 时钟电路:采用CMOS(互补金属氧化物半导体)技术设计时钟电路,包括时钟发生器、计数器和显示器。

时钟发生器产生稳定的方波信号,计数器根据方波信号进行计数,显示器将计数结果以数字形式显示出来。

2. 功能模块:多功能数字钟除了显示时间外,还应具备日期显示、闹钟设置、温度检测等功能。

为实现这些功能,需要添加相应的模块,如时钟芯片、温度传感器、闹钟电路等。

三、电路设计1. 时钟电路设计:根据设计原理,使用EDA软件进行电路设计,选择合适的元器件和连接方式。

通过仿真验证电路的工作稳定性和准确性。

2. 功能模块设计:根据需求,添加相应的功能模块。

时钟芯片用于精确计时和日期显示,温度传感器用于检测环境温度并显示,闹钟电路用于设置闹钟时间并触发报警。

四、电路实现1. 元器件选取:根据电路设计需求,选择合适的元器件。

时钟芯片应具备高精度和稳定性,温度传感器应具备高灵敏度和准确度,闹钟电路应具备可调节和触发功能。

2. 电路布局:将选取的元器件按照电路设计进行布局,注意元器件之间的连接和布线,避免干扰和短路。

3. 电路连接:根据电路设计进行元器件之间的连接,注意连接的正确性和稳定性。

五、仿真与测试1. 仿真验证:使用EDA软件进行电路仿真,检查电路的稳定性和准确性。

通过仿真结果对电路进行调整和优化,确保其正常工作。

2. 功能测试:对多功能数字钟进行功能测试,包括时间显示、日期显示、温度检测和闹钟设置等。

通过测试结果对电路进行调整和改进,确保其功能的完善和可靠性。

六、实验结果与分析经过仿真和测试,多功能数字钟实现了准确的时间显示、日期显示、温度检测和闹钟设置等功能。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

P南昌大序《数字电路与逻辑设计实验》实验报告题目数字钟电路设计学院:信息工程学院系电子信息工程专业:__________________________________班级:_____________________________________学号:_____________________________________学生姓名:____________________________________同组同学:_______________________________________指导教师:________________________________递交日期:__________________________多功能数字钟设计一、实验目的1、综合应用数字电路知识,提高逻辑电路设计能力;2、学习使用protel或Altium designer进行电子电路的原理图设计、印制电路板设计:3、学习电路板制作、安装、调试技能和设计流程;4、了解数码管,译码器,555定时器及以下中规模器件的逻辑功能和使用方法。

二、设计任务和设计要求1、设计一多功能数字钟并进行仿真和PCB板制作。

2、基本功能:准确计时,以数字形式显示时、分、秒的时间。

3、扩展功能:校正时间,定时控制,正点报时。

三、设计方案1、数字钟设计方案基本框图如下整点报时闹钟扩展电路 (I)... '2、各模块设计原理 1. 时的设计:时的计数以24小时为周期,按通常的习惯,24小时计数器的计数序列为00, 01,…, 22, 23, 00,…,即当计数到23小时59分59秒时,再来一个秒脉冲,计数器就进到00 时00分00秒。

这样,可利用反馈置数或反馈清零法进行二十四进制计数,本实验采用 74LS161进行设计。

2. 分、秒的设计:分和秒计数器都是模3仁60的计数器。

计数规律为00, 01,…,58, 59, 00,…。

它们 的个位都是十进制,而十位则是六进制。

多功能数字钟课程设计报告

多功能数字钟课程设计报告

电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。

☆学习数字系统设计中自顶向下的设计方法。

☆加深利用EDA技术实现数字系统的理解。

三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。

☆基本要求1、能够正确的连线及下载。

2、能够完成以秒为最小及时单位的时钟设计。

3、设计完成后的时钟能够正常调整时、分、秒。

三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现一天24h的累计。

译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。

整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。

校时电路是来对“时、分、秒”显示数字进行校对调整。

其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。

实现这两种模数的计数器采用中规模集成计数器74LS90构成。

●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。

多功能数字钟实验报告

多功能数字钟实验报告

多功能数字钟实验报告基地班级:设计人:设计时间:指导老师:目录多功能数字钟实验报告 .......................................................................... - 1 -一、实验任务及要求................................................................... - 3 -1.基本功能............................................................................ - 3 -2.拓展功能............................................................................ - 3 -二、实验条件............................................................................. - 3 -1.软件 .................................................................................. - 3 -2.开发板............................................................................... - 3 -三、电路的设计过程................................................................... - 4 -1.工作原理与组成框图......................................................... - 4 -2.单元电路设计................................................................... - 4 - 模块(1)计时与调时电路 ................................................. - 7 -模块(2)12-24小时转换电路:....................................... - 11 -模块(3)显示电路:....................................................... - 12 -模块(4)报时电路:....................................................... - 14 -模块(5)闹钟电路:....................................................... - 17 - 3.总体仿真波形.................................................................. - 24 -四、调试过程............................................................................ - 28 -1. 调试步骤............................................................................ - 30 -2. 调试中遇到的问题及解决办法.............................................. - 30 -3. 最后观察到的实验结果........................................................ - 31 -五、实验的收获、体会与改进建议.............................................. - 32 -一、实验任务及要求用FPGA器件和EDA技术实现多功能数字钟的设计1.基本功能以数字形式显示时、分、秒的时间;小时计数器为同步24进制;要求手动校时、校分和自动校时、校分。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

多功能数字钟设计报告

多功能数字钟设计报告

目录一、设计内容简介 (4)1.设计目的 (4)2.设计要求 (4)3.设计方案 (5)二、设计原理 (4)1.基本工作原理 (5)2.分部电路原理 (4)三、电路设计及其电路图 (5)1.秒信号发生器 (7)2.计时电路 (7)3.清零电路 (7)4.校分电路 (7)5.报时电路 (10)6.总电路图 (7)五、调试中遇到的问题及解决方法 (11)六、改进和提高 (11)七、体会与总结 (11)七、参考文献 (12)八、附录 (12)1.电路总图 (6)2.元件清单 (6)3.芯片引脚图 (6)一、设计内容简介1.设计目的我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.。

从而对理论基础知识有更深一步的理解和掌握。

2.设计要求(1)设计一个脉冲发生电路,为计时和报时提供1HZ,1KHZ,2KHZ脉冲信号(2)计一个计时电路,完成0分00秒~9分59秒的计时功能。

(3)设计清零电路,开机可以自动清零,可以手动实现清零功能。

(4)设计校分电路,按下开关,可进行校分。

(5)设计报时电路,从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz)(6)系统级联调试,将以上电路进行级联完成计时器的所有功能。

(7)排线尽量美观,贴近板面走线,布线布局应规范整齐美观3.设计方案数字钟的设计框图下图所示,数字钟由基本频率源(振荡器)、分频器、记数器、译码显示驱动器、数字显示器、校准电路、清零电路、报时电路八部分组成。

二、设计原理1.基本工作原理本数字钟是一个将“分十位”“秒十位”“秒个位”显示于人的视觉器官的计时装置。

多功能数字钟(EDA设计)实验报告

多功能数字钟(EDA设计)实验报告

多功能数字钟一、实验原理分析通过晶振产生的50MHz的脉冲,用分频器进行分频产生1Hz的脉冲信号,即作为时钟的1s的信号进行计数。

秒钟每计数60秒后产生进位使分钟显示加1,分钟满60循环至0。

为实现手动校准时间功能,可以对分和秒计数器进行加减。

为实现校准时间时候的闪烁,对数码管使用消隐,把数码管的接地端口接一个脉冲信号。

在实验过程中,要注意很多细节,比如进行按键消抖,手动调整时间时不会进位。

二、逻辑分析三、功能模块分析功能模块包括分频模块,时间计数及校准模块,数码管译码显示模块、判决模块和消抖模块1.分频模块该电路由多个70LS90经过分频将由晶振产生的50MHz分频为1Hz方波,供后续时钟电路使用。

这一模块是整个电路的基础。

2.时间计数及校准模块该模块连接至分频模块的信号输出端,以分频模块产生的1Hz 方波作为基础。

1Hz方波与秒同步,以秒为基础,分别实现电子钟中,分与时的运转,即1分钟=60秒,1小时=60分钟的循环运转。

为了修正电子钟在运行过程中产生的一些误差或其他认为错误,另设置校准功能,可以对电子钟的计时进行调整。

其中,此模块的逻辑部分需Verilog语言实现并进行封装。

此模块用到3个十进制计数器、2个六进制计数器和1个三进制计数器。

3.数码管译码显示模块本电子钟采用数码管来显示,可以简单、直观地表现出确切的时间,实现其他配套功能。

且数码管易于操作。

此模块中有四个数码管,每两个数码管分别显示小时与分钟。

由上一模块,即时间计数及校准模块中的时间计数器产生的数值,将其对应的七段码直接传送至相应的数码管译码显示。

4.判决模块该电路判决信号连接至开关,当开关选中数码管某位后,经过判决器令改为停止计数,并开始1秒闪烁,按动按键可实现手动调整。

5.消抖模块通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

摘要该实验是利用QuartusII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。

此外还添加了显示星期,闹钟设定,秒表和彩铃等附加功能,使得设计的数字钟的功能更加完善。

关键字:Quartus 数字钟多功能仿真AbstractThis experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-hol ding and belling on the hour. And then validated the design on the experimental board.In addition,additional functions like displaying and reseting the week,setting alarm ,stopwatch,and belling with music make this digital clock a perfect one.Key words: Quartus digital-clock multi-functionsimulate目录一.设计要求说明 (4)二.工作原理 (4)三.各模块说明 (5)1)分频模块 (5)2)计时模块 (8)3)动态显示模块 (10)4)校分与校时模块 (11)5)清零模块 (12)6)保持模块 (12)7)报时模块 (12)四.扩展模块 (13)1)星期模块 (13)五.调试、编程下载 (14)六.实验中出现问题及解决办法 (14)七.实验收获与感受 (15)八.参考文献 (16)一. 设计要求说明1.基本功能:(1). 设计计时电路,完成时、分、秒的计时功能, 设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能并采用动态显示原理在数码管上显示;(2). 具有清零和校时、校分、保持功能;(3). 具有整点报时功能:(59分53秒、59分55秒、59分57秒发低音,59分59秒发高音)2.附加功能:(1). 设计星期计时功能。

二.工作原理数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,控制电路按要求可由校分校时电路、清零电路和保持电路组成。

其中,脉冲发生电路将试验箱提供的48Mhz的频率分成电路所需要的频率;计时电路与动态显示电路相连,将时间与星期显示在七段数码管上,并且驱动蜂鸣器整点报时;校时校分电路对时、分、星期提供快速校时;清零电路作用时,系统的分秒时同时归零;保持电路作用时,系统停止计时并保持时间不变。

其原理框图如图所示:一、各模块说明1.分频模块分频模块将实验箱提供的48MHZ的频率分频,得到所需的频率。

实验中需要1HZ作为时秒、分、时的时钟信号,2HZ作为校分、校时的时钟信号,200HZ、1000HZ作为报时蜂鸣所需频率信号等。

我们实现的方法是8分频(三个2分频级连),6分频(一个2分频和一个3分频的级连)和610分频(6个5分频和6个2分频的级连)。

a)八分频这个二分频电路是由D触发器产生的,占空比为50%。

波形图如下:b)六分频这里设计了如下一个计数循环: 00,01,10,00。

采用高位作为分频信号输出,占空比为1:2,此时再接到一个T触发器的时钟端进行二分频,即实现六分频,且满足占空比为50%的要求。

三分频波形图如下:c)十分频该方法与六分频的实现是一个原理。

波形图如下:2.计时模块计时电路包括秒,分,时,星期四个模块,依次进位。

其中秒和分的模块类似,都是一个模六十计数器,时模块是一个模24计数器,而星期则是一个特殊的模7计数器。

设计时采用的是同步计数器,它们所接的时钟信号均为1hz。

a)秒计时模块当秒计时至59秒时由四与非门输出一个低电平将秒个位及秒十位置零,同时变换此低电平为高作为进位信号传递给分个位。

波形图如下:b)分计时模块观察可发现其结构与秒计时模块一致,唯一不同的是由于分清零的条件不仅是分计到59,而且秒也要计到59,故清零信号的输入还要添加秒计时模块的输出。

波形图与秒计时模块的相类似。

c)时计时模块时计时模块与秒,分模块类似,只是进位信号要设计在23时置零进位,并且要等到秒与分信号都计到59时它才能进位清零,故清零信号的输入还要添加秒和分计时模块的输出。

波形图如下:3.动态显示模块此模块是用于数码管的动态显示,在本实验中一共需要7个数码管参与显示(秒2位,分2位,时2位,星期1位),所以计数器74161设计为模7的循环,其输出既作为4片74151的控制端,又作为3-8译码器74138的控制端。

因为只有一片BCD译码器7447,所以当计数器到某一个数值时,四片74151同时选取对应位的一个输入组成计时器某一位的BCD编码接入显示译码器7447,与此同时根据计数器的数值,74138译码器也从七个显示管的使能端选择对应位有效,从而在实验箱上显现一个有效数据。

扫描的频率为几千赫兹,因为人眼视觉停留的原因,会感觉七个数码管同时显示。

原理图如下:4.校分与校时模块a)校分模块当K3为0时,校分模块输出1hz的脉冲供给分计时模块正常计数;当K3为1时,校分模块输出2hz的脉冲供给分计时模块校分。

其中为了防止拨开关时引发的颤动给校分带来影响,在校分模块中加入了消颤的D触发器。

由于校分的时候无论秒计时模块是否计到59,都能进行校分,故秒计时模块的输出要与开关K3相或才能供给校分模块的使能端。

b)校时模块当K4为0时,校时模块输出1hz的脉冲供给时计时模块正常计数;当K4为1时,校时模块输出2hz的脉冲供给时计时模块校分。

其中为了防止拨开关时引发的颤动给校时带来影响,在校时模块中加入了消颤的D触发器。

由于校时的时候无论秒和分计时模块是否都计到59,都能进行校时,故秒计时模块的输出要与开关K4相或才能供给校分模块的使能端。

5.清零模块通过增加一个开关K2来控制计时电路,开关通过非门直接接在每个74160的清零端,当开关断开时,计时器正常工作,当开关闭合时,计时器清零。

,6.保持模块通过开关K1控制秒的使能信号,当秒停止计时,计时器就“保持”了。

,7.报时模块当电路计时到59分51,53,55,57秒时,分别发出一声较低的蜂鸣声;当计时到59分59秒时,发出一声较高的蜂鸣声。

需要在某时刻报时,就将该时刻输出为“1”的信号作为触发信号,选通报时脉冲信号进行报时。

设计思路:设F是报时函数,F1是低音报时函数,F2是高音报时函数。

所有函数为高电平时报时。

则有:F=59’53’’F1+59’55’’F1+59’57’’F1+59’59’’F2=59’51’’(2’’F1+4’’F1+6’’F1+8’’F2)=59’51’’·(2’’F1·4’’F1·8’’F2)原理图如下:二、扩展模块1.星期模块星期计时关键是实现一个模七的计时循环,这里利用同步十进制计数器74160来实现。

星期的跳变需要秒分计到59并且时计到23,即时的进位输出。

原理图如下:五.调试、编程下载选择“Processing-start complication”进行全编译,编译通过后要进行管脚分配,选择“Assignments Pins”,在打开的对话框中的“Location”栏中选择相应的管脚填入,并将未用到的管脚置为三态,最后将程序下载到SmartSOPC实验系统中运行,检验结果是否正确。

六.试验中出现的问题及解决办法本次实验中出现遇到了很多问题,主要原因有的是本身思考问题不全面,导致调试时漏洞百出,还有就是设计方法的问题以及作图时不够细致。

以下是设计中出现的一些问题及解决方法:a)分频模块在设计分频模块的时候,由于没有考虑整体功能实现需要多个不同频率的输出,而只把1HZ作为我们的目标,导致后来用到时又返回去增加输出,导致模块的变动,也给整个工程带来了麻烦。

b)译码显示问题在检验计时输出时,发现分的个位显示乱码,经老师指导后才知道原来是输出的四位二进制位的顺序倒了,该软件中数组表示时一般高位在前,即应该是mg[3..0]的形式。

c)校分校时问题在一开始调试校分电路时,发现分和时并不在59后归零,而是一直不停的计数下去。

经讨论,是因为原先分清零的要求是分和秒的计数值都为59,而在校分的时候,不需要秒位也是59,因此对分的清零信号进行修改,要加入K3的作用,同理,时的清零信号要加入K4的作用。

d)显示复用问题本次实验的一个难点就是译码管的复用问题,要在正常计时、闹钟校时及秒计时三种状态下进行切换,经同学指点发现可以用开关控制选择器来达到选择显示界面的效果。

e)消颤问题在调试校时模块的时候发现拨动开关时数字显示跳动不正常,经验分析这是由于开关波动时会产生抖动造成的,所以要给开关加上消颤处理,其原理很简单,就是利用D锁存器的锁存功能。

七.实验收获和感受本次试验一方面需要我们掌握数字逻辑电路的知识,另一方面又要适应新的软件QuartusⅡ和试验箱的用法。

虽说和电工电子实验的内容基本一样,但用的方法却不一样。

上次实验除了理论知识外,还需要相当高的人品,万一哪边没接地或哪个孔差错了可能害你花掉整个小时的时间去查错,而且万一芯片坏了也很麻烦,效率比较低,而且眼花缭乱。

而这一次,可以利用软件仿真,观察波形,调试,而且不会发生芯片烧坏的情况。

当然,本次试验中我也遇到不少新的问题。

在调试的过程中,我经常会遇到一些错误,但一般错误都是能自己改正的,遇到最多的错误就是输入、输出忘标了,主要还是不够细致造成的。

当然也有许多问题是自己不能解决的,这时就要请教老师,或跟其他同学的商量。

首先在做分频的时候感觉很顺利,就是几个计数器,后来发现还是不对,输出就一个频率,不够用,看来还是需要有全局观念;然后在做显示模块的时候耽搁了一下,原因就是显示乱码,是输出二进制位顺序反了;接着是校分和校时,这里面的逻辑关系比较复杂,要考虑的因素也比较多,最后在跟同组同学的商量下把问题解决了;然而,最大的问题还是显示复用问题,刚开始对这个问题是一头雾水,因为以前的数字钟实验需要几个显示就给几个显示管,这一次遇到了切换的问题,我们开始每想到用选择器来控制切换,虽然有结果,但显示任不正常,且无法解决三种界面的切换问题,最终在其他同学的指导下采用选择器解决了问题。

对于本次实验,我体会颇深:作为学生,我们要学好专业知识和技能,这样在用到时才能得心应手;要有自学新知识的能力,对于陌生的东西要根据已掌握的知识来帮助自己尽快了解它;遇到困难时,首先要自己想办法解决,实在行不通时就要像老师和同学请教,此外,同学之间配合默契也是达到目标的关键。

相关文档
最新文档