多功能数字钟的设计与实现

合集下载

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计
第一步实现多功能数字钟的基本功能,包括显示当前时间和设置定时
功能。

为了实现这一功能,我们需要使用一个定时器,以实现每秒钟更新
一次时间并显示在LCD屏上,同时实现定时功能。

第二步用一个按钮来切换显示当前时间和定时时间。

为了实现这一功能,我们需要在LCD屏上显示当前时间和定时时间,当按钮按下时,可以
改变当前时间和定时时间的显示。

第三步加入计时功能,使用者可以设置一个计时时间,当计时结束时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要使用一个计数器,计算出时间差,当到达设定的计时时间时,发出报警声或者显示一个
提醒。

第四步增加闹钟功能,使用者可以设置一个闹钟时间,当达到闹钟时
间时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要在指定
的时间段内,获取当前时间,通过一个实时检查程序,来实现闹钟功能,
当到达时间时,发出报警声或者显示一个提醒。

第五步加入天气预报功能,使用者可以查询当前城市的天气情况,以
及未来三天的天气预报。

为了实现这一功能,我们需要使用一个API来获
取天气情况,并将获取的信息在LCD屏上显示出来,方便使用者查询。

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。

实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。

2. 按键输入:使用按键进行时间的调节和选择功能。

3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。

实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。

2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。

3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。

4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。

5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。

实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。

使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。

实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。

实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。

通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。

数电课程设计多功能数字钟的设计与实现

数电课程设计多功能数字钟的设计与实现

课程设计任务书题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。

用数码管显示时间计数值。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。

2、技术要求:1)设计一个数字钟。

要求用六位数码管显示时间,格式为00:00:00。

2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。

3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。

4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。

6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

3、查阅至少5篇参考文献。

按《******大学课程设计工作规》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规。

时间安排:1、 2013年 3 月 18 日,布置课设具体实施计划与课程设计报告格式的要求说明。

2、 2013 年 3 月 22日至 2013 年 5 月 10 日,方案选择和电路设计。

3、 2013 年 5 月 25 日至 2013 年 7 月 2 日,电路调试和设计说明书撰写。

4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 引言 (1)1.1 数字钟简介 (1)1.2 EWB简介 (1)2 方案选择 (3)3 系统框图 (4)4 分电路设计 (5)4.1 脉冲产生电路 (5)4.1.1设计要求 (5)4.1.2所需元件 (5)4.1.3元件介绍 (5)4.1.4参数计算 (6)4.1.5电路设计 (7)4.2计数电路 (8)4.2.1秒电路 (8)4.2.2分电路 (9)4.2.3时电路 (11)4.3显示电路 (12)4.3.1所需元件 (12)4.3.2元件介绍 (12)4.3.3原理说明 (12)4.3.4电路设计 (12)4.4整点报时电路 (13)4.4.1设计要求 (13)4.4.2所需元件 (13)4.4.3元件介绍 (13)4.4.4参数计算 (13)4.4.5原理说明 (14)4.4.6电路设计 (14)4.5校时电路 (15)4.5.1设计要求 (15)4.5.2所需元件 (15)4.5.3元件介绍 (15)4.5.4原理说明 (15)4.5.5电路设计 (15)4.6闹钟电路 (16)4.6.1设计要求 (16)4.6.2所需元件 (16)4.6.3元件介绍 (16)4.6.4原理说明 (18)4.6.5电路设计 (18)5总电路图 (19)6心得体会 (20)7元件清单 (21)8参考文献 (23)摘要数字钟是一种用数字电路技术实现时、分、秒计时的钟表。

多功能数字钟的设计与制作

多功能数字钟的设计与制作

邢台职业技术学院多功能数字钟的设计与制作学校邢台职业技术学院系别电气工程系班级电气077班姓名指导教师师宁2010 年 6 月摘要多功能数字钟是在具有基本钟表功能的基础上又增加了定时控制、仿广播电台报时功能、自动报整点时数、触摸报整点时数。

这样的数字钟以其超越的功能越来越被更多的人们所接受。

在设计数字钟时可以采用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等,经过多方面比较后采用多单元电路组成。

这样虽然操作时有很大困难但设计相对清晰易懂,一目了然。

PCB制作还必须在所有设计和制作结束后,首先经过检测没有问题才能焊接器件。

并进行进一步的检测。

保证电路不能在焊接地方出现短接、漏接现象。

错综复杂的接线来回穿梭在电路板上,需要制作者用有超强的细心耐性,和更灵活的办法。

确保质量!在遇到困难时有一个平静的心理,和良好的素质。

在保证了数字钟在正长运行下,其次一个美观的外表更能让更多人喜欢。

本这个原则开始我们的探索之路。

关键词:PCB板译码器计数器校时电路目录1 绪论 (3)1.1概述 (3)1.2设计任务 (4)1.3功能要求 (4)2 电路设计 (6)2.1设计方案 (6)2.2单元电路的设计 (6)2.2.1 主体电路部分 (7)2.2.1.1 振荡电路 (7)2.2.1.2 计数电路 (10)2.2.1.3 校时电路 (14)2.2.1.4 译码与显示电路 (15)2.2.2 扩展功能电路的设计 (17)2.2.2.1 定时控制电路 (17)2.2.2.2 仿广播电台正点报时电路 (19)2.2.2.3 自动报整点时数电路 (19)2.2.2.4 触摸报整点时数电路 (21)3 调试 (22)3.1主体电路部分 (24)4 结论 (27)谢辞 (28)参考文献 (29)附录 (30)第一章绪论1.1 概述中国是世界上最早发明计时仪器的国家。

多功能数字钟设计

多功能数字钟设计

port map(clk=>clk1s,clr=>disable,en=>s_mh_en,count=>s_mh);
SET_H: counter
generic map( count_value => 23)
port map(clk=>clk1s,clr=>disable,en=>s_h_en,count=>s_h);
architecture rtl of TIMER is
Begin
定义语句区
End rtl;
功能描述(并发描述语句)
㈠时钟信号生成功能部分
signal clk1s: std_logic; --1s计数时钟
signal cnt: std_logic_vector( 9 downto 0);
signal dy : std_logic; --整点报时控制时钟,50’’、52”、54”、56”、 58” generat_1s_clock: PROCESS (scanclk)
h<=c_h when set = '0' else s_h; hh<=1 when h>=10 and h<20 else
2 when h>=20 else 0; hl<=(h-0) when h<10 else (h-10) when h>=10 and h<20 else (h-20);
㈢闹表计时功能描述
signal s_ml_en , s_mh_en, s_h_en : std_logic; 定义语句区
signal s_mh,: integer range 0 to 5;
signal s_ml: integer range 0 to 9;

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

多功能数字时钟的设计与制作

多功能数字时钟的设计与制作

目录摘要 (1)引言 (2)一、方案选择 (4)(一)何谓单片机 (4)(二)单片机的选择 (4)(三)设计方案论证 (6)(四)具有整点报时和定时闹钟功能的设计 (7)二、硬件设计 (12)(一)最小系统设计 (12)(二)数字钟的外围电路设计 (13)(三)数字时钟设计方案 (18)图2-10 电路原理图 (18)(四)单元电路设计及分析 (18)三、软件设计 (22)(一)系统流程图: (22)(二)设备与仪器 (23)(三)系统调试与分析 (24)(四)分析结果 (25)总结 (27)致谢 (27)参考文献 (29)本系统的设计电路以凌阳单片机SPCE061A作为控制器。

主要由显示电路, 功能控制电路、传感器电路、电压转换电路、遥控电路五部分。

吸收了硬件软件化的思想,大部分功能通过软件来实现,使电路简单明了,系统稳定性大大提高。

本系统不仅成功的实现了要求的基本功能,发挥部分也得到完全的实现,而且有一定的创新功能。

通过多功能数字钟的设计思路,详细叙述了系统硬件、软件的具体实现过程。

论文重点阐述了数字钟硬件中MCU模块、语音模块、时钟模块和相关控制模块等的模块化设计与制作;软件同样采用模块化的设计,包括中断模块、闹钟模块、语音模块、时间调整模块设计,并采用简单流通性强的C语言编写实现。

本设计实现了时间与闹钟的修改功能、语音播报功能、年、月、日和星期的显示功能。

并且通过对比实际的时钟,查找出了误差的来源,确定了调整误差的方法,尽可能的减少误差,使得系统可以达到实际数字钟的允许误差范围内。

关键字:凌阳单片机光耦液晶数字钟单片机自1976年由Intel公司推出MCS-48开始,迄今已有二十多年了。

由于单片机集成度高、功能强、可靠性高、体积小、功耗地、使用方便、价格低廉等一系列优点,目前已经渗入到人们工作和生活的方方面面,几乎“无处不在,无所不为”。

单片机的应用领域已从面向工业控制、通讯、交通、智能仪表等迅速发展到家用消费产品、办公自动化、汽车电子、PC机外围以及网络通讯等广大领域。

多功能数字电子钟的设计与实现

多功能数字电子钟的设计与实现

目录1 引言 (4)1.1 多功能电子钟的研究背景和选题意义 (4)2 方案设计与选择: (4)3 整体设计思路 (5)4、主要元件介绍 (7)4.1 AT89C51单片机芯片 (7)4.2 数码管 (7)4.3 7407驱动器 (8)5 电路设计 (9)5.1整体设计 (9)5.2 分块设计 (9)5.2.1 输入模块 (10)5.2.2 输出模块 (10)5.2.3 晶振与复位电路: (11)5.3 整体电路图 (11)6.1程序思路 (13)6.2程序设计步骤 (14)6.3程序的主要模块 (14)6.3.1延迟程序 (14)6.3.2 中断服务子程序: (14)6.3.3 主程序 (16)6.3.4显示程序 (16)6.3.5 闹铃程序和定时程序 (17)6.4程序调试 (17)7 日历功能的实现 (17)8 电子钟设计中遇到的问题及其解决方法 (19)参考文献............................................ 错误!未定义书签。

致谢 (24)声明 (25)摘要:本文所要论述的课题,主要使用以AT89C51芯片作为核心,运用12MHZ晶振与单片机AT89C51相连接,采用动态扫描方式显示作为主要论述中心。

通过使用单片机和在显示电路加上7407驱动电路,以达到实现在6个LED数码管上显示出时间,日期,定时,闹钟四大功能,并通过键位操作,达到日期设定,时间调整,闹钟设定,倒计时的功能。

在实现各个功能的时候数进行相对应的显示,蜂鸣器的启动和键位控制声音停止。

软件部分,本设计主要采用微机汇编语言进行实现,其中分为显示,调时,延迟,闹钟,日起调整等部分。

关键词:多功能电子钟;单片机技术;微机汇编语言;动态扫描技术Design of LED Show Electric Clock Based onMicroprocessorThis design uses a 12 MHZ crystal to connect with the machine AT89C51, takes AT89C51 chips as core. It adopts the dynamic state of the scanning method to show. Using this MCU and 7407 drive electric circuit, we are able to show date, time, fix the time, make bell on 6 LED figures tubes. We can use 6 key to constitute date, adjust time, enact the bell and set the countdown timer. When the electric carrying out each function, the figures tube show the tight function .When the alarm clock and the countdown timer were reached, the voice begins. While 3 or 4 is pressed, the voice stops. The software part is realized by assembler language. It was divided into to show, delay, adjust, make bell, in fixed time, adjust date etc. part. We get the end purpose combining the software and the hardware.Keyword:Electric clock MCU Dynamic state scaning assembler language1 引言1.1 多功能电子钟的研究背景和选题意义时间对于人类的重要意义不言而喻,正如郭沫若老先生曾经说过的一样:时间就是生命,时间就是速度,时间就是力量。

多功能数字钟的实现

多功能数字钟的实现

嵌入式系统简介:一种 专用计算机系统,用于 控制、监视或辅助设备、
机器或工厂等
嵌入式系统组成:处理 器、存储器、输入/输出
设备、软件等
嵌入式系统实现方式: 硬件实现和软件实现
硬件实现:使用专用 的嵌入式处理器、存 储器和输入/输出设
备等
软件实现:使用嵌入式 操作系统、应用程序和
驱动程序等
嵌入式系统应用领域: 工业控制、医疗设备、 汽车电子、航空航天
实现功能
软件实现方式
编程语言:C语言 或Python等
开发环境: Visual Studio 或PyCharm等
程序结构:主 程序、子程序、
函数等
数据处理:时间、 日期、闹钟等数 据的存储和处理
用户界面:图形 用户界面(GUI)
的设计和实现
功能实现:时间显 示、闹钟设置、定 时器等功能的实现
嵌入式系统实现方式
定时器功能
设定时间:用户可以根据 自己的需求设定定时时间
提醒方式:当定时时间到 达时,数字钟会发出声音
或灯光提醒
暂停功能:用户可以暂停 定时器,以便稍后重新启

重复功能:用户可以设置 定时器重复提醒,例如每
天、每周等
秒表功能
秒表功能简介:用于 记录和显示时间,精
确到秒
秒表启动:按下开始 按钮,秒表开始计时
0
0
1
2
报警提示:当 设备出现异常 时,数字钟发 出报警提示
0 3
数据记录:记 录设备的运行 数据,便于分 析和优化生产 过程
0 4
智能家居场景
智能闹钟:通过 多功能数字钟实 现定时提醒、叫
醒等功能
智能照明:通过 多功能数字钟控 制室内光线,实

多功能数字钟的设计

多功能数字钟的设计

1多功能数字钟的设计1.1设计要求设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校时间,每逢整点,产生报时音报时。

系统框图如图1-1所示:系统模式手动IHZ时钟源复位选择校时输入图IT多功能数字钟系统框图显示部分可以使用数码管(基本)或液晶LCD(扩展)。

1.2设计提示此设计问题可分为主控电路、计数器模块和扫描显示三大部,主控电路中各种特殊功能的实现设计问题的关键。

用两个电平信号A、B进行模式选择,AB=OO为模式0,系统为计时状态;AB=Ol为模式1,系统为手动校时状态;AB=Io为模式2,系统为闹钟设置状态。

设置一个turn信号,当turn=0时,表示在手动校对时,选择调整分钟部分;当turn=l 时,表示在手动校对时,选择调整小时部分。

设置一个Change信号,在手动校时或闹钟设置模式下,每按一次,计数器加1。

设置一个reset信号,当reset=O时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。

设置一个闹钟设置信号resell,当resetl=O时,对闹钟进行设置,当resetl=O时,关闭闹钟信号。

设置状态显示信号(连发光二极管):LD_alert指示是否设置了闹铃功能;LDjI指示当前调整的是小时信号;LD_m指示当前调整的是分钟信号。

当闹钟功能设置后(LD_alert=l),系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。

整点报时部分由分和秒计时同时为0(或60)启动,与闹铃共用一个扬声器驱动信号OUto 系统计时时钟为Clk=IHz,选择另一时钟clk」k=1024Hz作为产生闹铃声、报时音的时钟信号。

主控电路状态表如表1-1所示。

硬件系统示意图如图1-2所示。

晶振[OOO1.D_hLD_mMs6Ms5..LD_alertclkjkClkFP∈Λ∕(PLDturnchangeresetreset1AIIII IIII IIII LlL∣abCdefg图1-2数字钟硬件系统示意图。

多功能数字钟的设计与实现

多功能数字钟的设计与实现

数字逻辑课程设计报告—多功能数字钟的设计与实现一、设计目的1、学会应用数字系统设计方法进行电路设计;2、学习使用QuartusII 9.0, 进一步提高软件的开发应用能力,增强自己的动手实践能力。

3、培养学生书写综合实验报告的能力。

二、设计任务及要求实现多功能数字钟的设计,主要有以下功能:1、记时、记分、记秒2、校时、校分、秒清03、整点报时4、时间正常显示5、闹时功能(选做)三、设计思路3.1 计时模块3.1.1 设计原理计时模块如图3.1.1所示,其中计时用60进制计数器,计分和计秒用24进制计数器。

图3.1.1 计时间模块计时间过程:计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号;计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位;计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。

计数器的设计:3.1.2 设计程序编程分别设计24、60进制计数器,计数状态以BCD码形式输出。

24进制计数器源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity FEN24 isport(en,clk:in std_logic; ----高电平有效的使能信号/输入时钟 co:out std_logic;h1,h0:out std_logic_vector(3 downto 0)); ----时高位/低位end FEN24;architecture behave of FEN24 isbeginprocess(clk)variable cnt1,cnt0:std_logic_vector(3 downto 0); ----记数beginif(en='0')then ---“使能”为0cnt0:="0010";cnt1:="0001";elsif clk'event and clk='1'then ---上升沿触发if cnt1="0010"and cnt0="0011"thencnt0:="0000"; ----高位/低位同时为0时 cnt1:="0000";co<='1';elseco<='0';if cnt0="1001"thencnt0:="0000";cnt1:=cnt1+1;elsecnt0:=cnt0+1; -----高位记数累加end if;end if;end if;h1<=cnt1;h0<=cnt0;end process;end behave;60进制计数器源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity FEN60 isport(en,clk:in std_logic; ---高电平有效的使能信号/输入时钟co:out std_logic; ---输出/进位信号s1,s0:out std_logic_vector(3 downto 0));end FEN60;architecture behave of FEN60 isbeginprocess(clk,en)variable cnt1,cnt0:std_logic_vector(3 downto 0); ---计数beginif en='0'then ---“使能”为0 cnt1:="0000";cnt0:="0000";elsif clk'event and clk='1'thenif cnt0="1001"thencnt0:="0000";if cnt1="0101"then ---当计数为58(实际是经过59个计时脉冲)cnt1:="0000";co<='1'; ---进位elsecnt1:=cnt1+1;co<='0';end if;elsecnt0:=cnt0+1;end if;end if;s1<=cnt1;s0<=cnt0;end process;end behave;3.1.3 设计仿真及生成器件24进制计数器仿真波形截图如图3.1.2所示,其中计到23时,即高位h1为2,低位h0为3时产生进位。

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

eda多功能数字钟实验报告

eda多功能数字钟实验报告

eda多功能数字钟实验报告EDA多功能数字钟实验报告一、引言数字钟是现代生活中常见的一种时间显示工具,其准确性和便携性使其成为人们生活中不可或缺的一部分。

本实验旨在设计并制作一款多功能数字钟,通过EDA(电子设计自动化)软件进行模拟和仿真,验证其功能和性能。

二、设计原理1. 时钟电路:采用CMOS(互补金属氧化物半导体)技术设计时钟电路,包括时钟发生器、计数器和显示器。

时钟发生器产生稳定的方波信号,计数器根据方波信号进行计数,显示器将计数结果以数字形式显示出来。

2. 功能模块:多功能数字钟除了显示时间外,还应具备日期显示、闹钟设置、温度检测等功能。

为实现这些功能,需要添加相应的模块,如时钟芯片、温度传感器、闹钟电路等。

三、电路设计1. 时钟电路设计:根据设计原理,使用EDA软件进行电路设计,选择合适的元器件和连接方式。

通过仿真验证电路的工作稳定性和准确性。

2. 功能模块设计:根据需求,添加相应的功能模块。

时钟芯片用于精确计时和日期显示,温度传感器用于检测环境温度并显示,闹钟电路用于设置闹钟时间并触发报警。

四、电路实现1. 元器件选取:根据电路设计需求,选择合适的元器件。

时钟芯片应具备高精度和稳定性,温度传感器应具备高灵敏度和准确度,闹钟电路应具备可调节和触发功能。

2. 电路布局:将选取的元器件按照电路设计进行布局,注意元器件之间的连接和布线,避免干扰和短路。

3. 电路连接:根据电路设计进行元器件之间的连接,注意连接的正确性和稳定性。

五、仿真与测试1. 仿真验证:使用EDA软件进行电路仿真,检查电路的稳定性和准确性。

通过仿真结果对电路进行调整和优化,确保其正常工作。

2. 功能测试:对多功能数字钟进行功能测试,包括时间显示、日期显示、温度检测和闹钟设置等。

通过测试结果对电路进行调整和改进,确保其功能的完善和可靠性。

六、实验结果与分析经过仿真和测试,多功能数字钟实现了准确的时间显示、日期显示、温度检测和闹钟设置等功能。

多功能数字钟的设计与实现

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的1.掌握数字钟的设计原理。

2.用微机实验平台实现数字钟。

3.分析比较微机实现的数字钟和其他方法实现的数字钟。

二、实验内容与要求使用微机实验平台实现数字钟。

1.基本要求如下:1)24小时制时间显示。

2)可以随时进行时间校对。

3)整点报时。

4)闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。

2.提高要求1)校时时相应位闪烁。

2)能够设置多个起闹点。

三、实验报告要求1.设计目的和内容2.总体设计3.硬件设计:原理图(接线图)及简要说明4.软件设计框图及程序清单5.设计结果和体会(包括遇到的问题及解决的方法)四、系统总体设计根据设计要求,初步思路如下:1)计时单元由定时/计数器8253的通道0来实现。

定时采用硬件计数和软件技术相结产生一定的定时时间,然后再利用软件进行计数,从而实8253合的方式,即通过.在中断服务程序中定时时间到了之后产生中断信号,8253小时制定时。

现248253 实现时、分、秒的累加。

数码管分别显示时、分、秒,采用动态扫描个LED2)时间显示采用实验平台上的6 方式实现。

校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。

按键包括校时键、闹钟定)3 1键等。

时键、加1键和减的一个端口,通过输出电平的高低来报警声响用蜂鸣器产生,将蜂鸣器接到82554)控制蜂鸣器的发声。

系统硬件设计主要利用微机实验平台上的电路模块。

硬件电路主要由键盘电路、单显示电LED8255并行接口单元、8259中断控制器、脉冲产生单元、8253定时计数器、所示。

路和蜂鸣器电路等等。

系统的硬件电路设计框图如图1 8253键盘电路8255微蜂鸣器电路机系统8259单脉冲发生单元数码管显示电路1 硬件电路框图图五、硬件设计由于实验平台上根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,硬件电路的用户在使用时只要设计模块间电路的连接,因此,的各个功能模块已经设计好,所示。

多功能数字钟的设计及制作

多功能数字钟的设计及制作

多功能数字钟的设计及制作1.设计分析本次设计的数字钟具有校时功能。

我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。

一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1图1-1 总体方框图2.设计内容2.1秒脉冲产生部分本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。

虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。

只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。

555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。

555定时器逻辑符号如图2-1所示:图2-1 555定时器逻辑符号管脚功能如表2-1所示:图2-2 秒脉冲电路根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。

充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC)t1=(R1+R2)C*㏑2=0.7(R1+R2)C放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC)t2=R2*C㏑2=0.7R2*C脉冲周期为: t=t1+t2=0.7(R1+2R2)C脉冲频率为: f=1/t=1.43/(R1+2R2)C令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的)代入数据,计算得,f=0.94HZ≈1HZ基本满足实验要求。

2.2计数部分计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。

它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计设计一个多功能数字钟,可以显示时间、日期、温度,并能设置闹钟和倒计时功能。

电子设计:1.采用LED数码管显示时间、日期、温度,可以选择7段数码管或者共阳共阴的数码管。

2.采用实时时钟芯片,能够准确读取时间和日期。

3.通过温度传感器读取室内温度,并在数码管上显示。

4.设计按钮控制系统,包括设置时间、日期、闹钟和倒计时功能。

5.设置闹钟功能,可以设定每天重复或只响一次,并能够自定义铃声。

6.设置倒计时功能,可以设定倒计时时间,并能够显示剩余时间。

7.设计电源接口,可以使用电池或外部电源供电。

硬件设计:1.使用微控制器作为控制中心,控制LED数码管的显示和按钮的输入。

2.将实时时钟芯片连接到微控制器,通过I2C或SPI协议读取时间和日期。

3.连接温度传感器与微控制器,通过模拟输入端口读取温度值。

4.设计按钮接口,将按钮连接到微控制器的GPIO引脚,用于接收用户的操作。

5.设计蜂鸣器接口,通过微控制器生成不同频率的信号,作为闹钟铃声。

软件设计:1.编写微控制器的固件程序,实现读取时间、日期、温度的功能。

2.实现LED数码管的驱动程序,将时间、日期、温度的数值转换成相应的LED显示。

3.编写按钮的中断服务程序,实现按钮的响应和功能切换。

4.设计闹钟功能的逻辑,根据用户的设定时间和铃声选择,启动闹钟。

5.设计倒计时功能的逻辑,根据用户设定的倒计时时间,显示剩余时间并发出提醒。

6.设计设置功能的菜单,通过按钮操作进入不同的设置界面。

7.实现电源管理功能,可以根据用户选择使用电池或外部电源供电。

8.调试和测试系统的各项功能,确保稳定性和准确性。

多功能数字钟的电路设计

多功能数字钟的电路设计

多功能数字钟的电路设计
1.时钟计数器:使用数字逻辑门和触发器组成的计数器电路,用于实
现时钟的计数功能。

计数器需要能够准确地计时,并能够在到达一定计数
值时进行复位操作。

2.时钟显示器:使用数码管显示器来显示当前的时、分、秒。

每个数
码管都需要能够接收计数器输出的数值,并将其转换成对应的数字显示。

3.按键输入:多功能数字钟通常会包括一些功能设置,例如闹钟、日期、温度等。

因此需要设计一个按键输入电路,用于接收用户的按键输入,并实现对应的功能操作。

4.闹钟功能:在设计中可以添加一个闹钟电路,用于在特定时间发出
警报。

这个电路可以通过比较计数器的当前值和闹钟设定的时间值来判断
何时触发警报。

5.温度传感器:如果需要实现温度显示的功能,可以添加一个温度传
感器,将温度值转换成数字信号,并通过数码管显示出来。

6.日期功能:类似于时钟显示器,设计一个可以显示日期的电路。


以通过按键输入来设置日期,并将其显示在数码管上。

7.电源电路:为了供电整个电路,需要设计一个合适的电源电路,可
以通过插座或电池为电路提供稳定的电源。

在电路设计过程中,需要注意的是不同功能模块之间的连接与通讯方式,以及合理的信号处理和控制逻辑。

同时,还要考虑电路的稳定性、抗
干扰能力和功耗等方面的设计要求。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

多功能数字钟的设计与实现项目设计方案

多功能数字钟的设计与实现项目设计方案

多功能数字钟的设计与实现项目设计方案1 数字钟设计功能及方案论证基本功能:1)设计一个数字钟。

要求用六位数码管显示时间,格式为00:00:00。

2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。

3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。

扩展功能:1)设计提供连续触发脉冲的脉冲信号发生器,2)具有校时单元、闹钟单元和整点报时单元。

方案论证:方案一:用逻辑门电路直接搭接数字钟电路,此方案所需元件众多,频率稳定性差,电路复杂,所以不采用此方案。

方案二:用计数器74LS90以及译码器74LS48等芯片组成电路,用555振荡器及分频器产生1Hz信号供计数器技术,较之第一种方案容易实现。

方案三:用单片机实现计数及显示等,这种方案简单明了,电路简单只需要写好程序就可以,容易达到任务要求。

但单片机对个人能力要求较高,鉴于还没有学习单片机方面知识,所以不使用第三种方案。

综上,决定采用第二种方案。

2 设计原理及框图1)计数器电路:计数器电路由秒计数器、分计数器及时计数器构成。

根据设计要求,其中,时计数器为24进制,分计数器及秒计数器为60进制计数器。

2)译码显示电路:由74LS48芯片组成的译码电路将计数器输出的8421BCD码转化为数码管所需的逻辑状态,并为保证数码管正常工作提供足够工作电流。

3)整点报时电路:在数字钟电路出现整点时,数字钟会自动报时,其工作方式是发出连续的音频声波,复杂一些的可以是实时语音或音乐提示。

4)定时闹钟电路:要求可以设定一个指定的时间,是数字钟在指定时刻发出信号,使蜂鸣器“闹时”。

5)555振荡器电路:石英晶体振荡器电路给数字钟提供一个频率稳定的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

6)分频器电路:分频器电路将32768HZ的高频方波信号经分频后得到1Hz的方波信号供秒计数器进行计数。

数字钟原理框图如下:图1.1 数字钟原理框图3 电路模块分析3.1计数器电路计数器电路我选择的是74LS90芯片。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

多功能数字钟的设计与实现
一、实验目的
1.掌握数字钟的设计原理。

2.用微机实验平台实现数字钟。

3.分析比较微机实现的数字钟和其他方法实现的数字钟。

二、实验内容与要求
使用微机实验平台实现数字钟。

1.基本要求如下:
1)24小时制时间显示。

2)可以随时进行时间校对。

3)整点报时。

4)闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。

2.提高要求
1)校时时相应位闪烁。

2)能够设置多个起闹点。

三、实验报告要求
1.设计目的和内容
2.总体设计
3.硬件设计:原理图(接线图)及简要说明
4.软件设计框图及程序清单
5.设计结果和体会(包括遇到的问题及解决的方法)
四、系统总体设计
根据设计要求,初步思路如下:
1)计时单元由定时/计数器8253的通道0来实现。

定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。

8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。

2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。

3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。

按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到8255的一个端口,通过输出电平的高低来控制蜂鸣器的发声。

系统硬件设计主要利用微机实验平台上的电路模块。

硬件电路主要由键盘电路、单脉冲产生单元、8253定时计数器、8255并行接口单元、8259中断控制器、LED 显示电路和蜂鸣器电路等等。

系统的硬件电路设计框图如图1所示。

图1 硬件电路框图
五、硬件设计
根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电路的设计及实现相对简单。

完整系统的硬件连接如图2所示。

硬件电路由定时模块、按键模块、数码管显示模块和蜂鸣器模块组成。

微机系统
8253
8255 8259
数码管显示
键盘电路
蜂鸣器电路
单脉冲发生
单脉冲发生单元
键盘电路
PA0 8255
PB0
P
Q6
OUT0 8253 GATE0
地址
CS1
译码 CS2
数码管显示模块
IRQ2
8259 I
PC BUS 蜂鸣器
各个模块的详细说明:
1.定时模块
定时模块主要是实现硬件定时1s,由8253的计数器0来实现。

Clk0接实验平台分频电路输出Q6,f=46875hz。

GATE0接8255的PA0,由8255的PA0输出来控制计数器的起停。

OUT0接8259的IRQ2,定时完成请求中断,进入中断服务程序。

软件在中断服务程序中实现时分秒的累加。

2.LED数码管模块
实验平台上提供一组六个LED数码管。

插孔CS1用于数码管段选的输出选通,插孔CS2用于数码管位选信号的输出选通。

本设计用6个数码管来动态显示时分秒,动态显示的定时时间由软件延时。

3.按键模块
按键模块包括有2个单脉冲发生单元的按键,3个键盘模块的按键。

2个单脉冲发生单元的按键K1,K2分别为校时键和闹钟定时键,采用中断方式。

3个键盘按键A键,B键和C 键分别为加1键,减1键和切换键。

具体的功能定义如下表。

键盘电路和8255的连接见图3所示。


键名功能说明

K1校时键进入校时状态
K2闹钟定时键进入闹钟定时状态
A键加1键校分或校时的时候使其加1递增
B键减1键校分或校时的时候使其减1递减
C键切换键校分后切换到校时
校时后切换到时钟状态
闹钟设定分值后切换到设定时值
闹钟设定时值后切换到时钟状态
图3 键盘电路和8255的连接图
4.蜂鸣器模块
蜂鸣器模块由蜂鸣器驱动电路和8255组成。

选择一只压电式蜂鸣器,压电式蜂鸣器工作时约需要100mA驱动电流。

蜂鸣器电路如图4所示。

当8255的PB0口输出为高电平时,蜂鸣器产生蜂鸣音,8255输出为低电平时,蜂鸣器不发声。

图4 蜂鸣器电路
六、软件设计
1. 软件设计思路
根据系统的功能,可以将程序分为5个功能模块,包括LED数码管扫描模块、时间计时模块、校时模块、闹钟定时模块和键盘扫描模块。

1)LED数码管扫描模块
在采用动态扫描方式时,要使得LED显示得比较均匀,又有足够的亮度,需要设置适当的扫描频率。

当扫描频率在70Hz左右时,能够产生足够的图形和比较好的效果。

在每一位LED显示后调用一个延时程序。

采用实验平台时,插孔CS1用于数码管段选的输出选通,插孔CS2用于数码管位选信号的输出选通。

在编程时,只需要先输出数据选通相应的位,再输出显示数据。

显示数据输出前要将数据转换为显示代码。

2)时间计时模块
计时是采用硬件计时和软件计时相结合的方法。

硬件定时100ms,产生中断。

8253定时的时间是100ms,clk0的输入时钟f=46875hz,所以计数初值为124FH。

分别用Hour、Min、Sec来存储时分秒值。

在中断服务程序中,计数到1秒的时间时,Sec加1。

当Sec加到60的时候进一,Min值加1。

当Min等于60时,Hour值加1,Hour值等于24时清零开始下一次循环。

3)校时模块
当校时键按下时,进入校时中断程序。

停止计数器,开始键盘扫描。

当键盘是A键时,校正的相应值为加1,若为B键时,校正的相应值为减1。

C键负责在相应的位之间切换。

具体流程见校时中断程序的流程图。

4)闹铃定时模块
当闹铃定时键按下时,进入闹铃定时中断程序。

开始键盘扫描。

当键盘是A键时,定时的相应值为加1,若为B键时,定时的相应值为减1。

C键负责在相应的位之间切换。

具体流程同校时中断程序流程图。

5)键盘扫描模块
采用的是行反转法来扫描键盘的,调用键盘扫描的程序TESTKEY后,程序中的变量KEY 的值就是按下的键的值,如果KEY为0FFH则表示无键盘输入,为0~15 则按照上往下从左往右的顺序分别代表每一个按键按下的信息。

判断键值进行相应的操作。

2. 程序流程图
1)主程序流程图如图5
图5 主程图流程图
2)计时中断程序流程图如图6
3)校时中断程序流程图如图7
4)闹铃定时中断程序流程图和校时中断程序流程图差不多,只是不需要关闭
启动计数器。

图6 计时中断服务程序流程图
图7 校时中断服务程序流程图。

相关文档
最新文档