福建师范大学17年2月课程考试《EDA技术》作业考核试题

合集下载

2019秋福师《EDA技术》在线作业一 参考答案

2019秋福师《EDA技术》在线作业一 参考答案

下载前先核对是否是你需要的一套题目,如不是,可能随机出题,下载然后复制
题目在该题库里面查找辅导答案。

福师《EDA技术》在线作业一
一、多选题(共 10 道试题,共 20 分。


1. 目前常用的硬件描述语言为:()。

A. Verilog
B. VHDL
C. 和 VC
D. VB
参考标准答案:AB
2. 常用的综合工具有哪些()。

A. FPGA Express
B. FPGA compiler
C. Synplify Pro
参考标准答案:ABC
3. SPLD器件分为几类()。

A. PROM
B. PLA
C. PAL
D. GAL
参考标准答案:ABCD
4. 布局布线完成后会产生哪些文件()。

A. 芯片资源耗用的报告
B. EDIF
C. 延时网表
D. 器件编程文件
参考标准答案:ABCD
5. ASIC电路特点描述正确的是()。

A. 周期长
B. 投入高
C. 功耗低
D. 省面积
参考标准答案:ABCD
6. 按照处理的HDL语言类型,仿真器可以分为()。

A. Verilog HDL仿真器
B. VHDL HDL仿真器。

福师14秋学期《EDA》作业考核试题答案

福师14秋学期《EDA》作业考核试题答案

福师《EDA》作业考核试题1、下列标识符哪些是合法的,哪些是错误的?Count,8sum,\a*b,_data,\wait,initial,Slatch合法的:Count,,\wait,initial,Slatch错误的:8sum,\a*b,_data2、下列数字的表示是否正确?6’d18, ’Bx0, 5’box110, ’da30, 10’d2, ’hzF 正确的:’Bx0, ’da30, ’hzF错误的:6’d18, 5’box110, 10’d2,3、用持续赋值语句描述一个4选1数据选择器。

module mux4_1(out,in0,in1,in2,in3,sel);output out;input in0,in1,in2,in3;input[1:0] sel;wire out;assign out = (sel == 2'b00)? in0:(sel == 2'b01)? in1:(sel == 2'b10)? in2:in3;endmodule4、用行为语句设计一个8位计数器,每次在时钟的上升沿,计数器加1,当计数器溢出时,自动从零开始重新计数,计数器有同步复位端。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity count8 isport (clk : in std_logic;rst : in std_logic;en : in std_logic;cm : out std_logic;c_out : out std_logic_vector(7 downto 0));end count8;architecture arc of count8 issignal cnt : std_logic_vector(7 downto 0);beginprocess(clk,rst)beginif rst = '1' thencnt <= (others=>'0');cm <= '0';elsif rising_edge(clk) thenif en = '1' thenif cnt = "11111111" thencm <= '1';cnt <= "00000000";elsecnt <= cnt + 1;cm <= '0';end if;end if;end if;end process;c_out <= cnt;end arc;4、试编写同步模5计数器程序,有进位输出和异步复位端。

15秋福师《EDA技术》在线作业二答案辅导资料

15秋福师《EDA技术》在线作业二答案辅导资料

15秋福师《EDA技术》在线作业二答案辅导资料一、多选题(共10 道试题,共20 分。

)1. IP核一般分为哪几种()。

A. 硬核B. 固核C. 软核D. 以上全不对-----------------选择:2. 基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。

A. 设计输入B. 综合C. 布局布线D. 仿真和编程-----------------选择:3. 目前的EDA技术主要特点有哪些()。

A. 使用普及B. 应用广泛C. 工具多样D. 软件功能强大-----------------选择:4. 衡量仿真器性能的重要指标有哪些()。

A. 仿真速度B. 仿真的准确性C. 仿真的易用性-----------------选择:5. 状态机常用的编码方式有()。

A. 顺序编码B. 格雷编码C. 约翰逊编码D. 一位热码-----------------选择:6. 用PLD器件实现设计的优势有哪些()?A. 周期短B. 投入少C. 风险小D. 对于成熟的设计往往采用PLD7. 下面哪些是专业提供PLD器件厂商()。

A. XilinxB. AlteraC. LatticeD. Micsoftware-----------------选择:8. 基于EDA技术的设计中,通常有两种设计思路()。

A. 自顶向下B. 自底向上C. 自前向后D. 自后向前-----------------选择:9. 常用的集成FPGA/CPLD开发工具有哪些()。

A. MAX+plus IIB. Quartus IIC. ISED. ispLEVER10. 综合有哪几种形式()。

A. RTLB. 逻辑综合C. 将逻辑门表示转换到版图表示-----------------选择:福师《EDA技术》在线作业二多选题判断题二、判断题(共40 道试题,共80 分。

)1. 仿真也称模拟,是对所设计电路的功能的验证。

A. 错误B. 正确2. Verilog HDL中实数型和字符串型常量是可以综合的。

福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案4

福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案4

福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.下面哪些是专业提供PLD器件厂商()。

A.XilinxB.AlteratticeD.Micsoftware2.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。

A.设计输入B.综合C.布局布线D.仿真和编程3.Verilog HDL中整数型常量是不可以综合的。

()A.正确B.错误4.数字设计流程中的设计输入的表达方式一般有原理图方式和HDL文本方式两种。

()A.正确B.错误5.有限状态机的复位分为两种:同步复位和异步复位。

()A.正确B.错误6.ISP和专用的编程器是FPGA常用的两种编程方式。

()A.正确B.错误7.Verilog HDL和VHDL目前还都不是IEEE标准。

() A.正确B.错误8.常用的集成FPGA/CPLD开发工具有哪些()。

A.MAX+plus IIB.Quartus IIC.ISED.ispLEVER9.采用原理图方式的数字设计的可重用性、可移植要差一些。

()A.正确B.错误10.不考虑信号时延等因素的仿真称为功能仿真。

()A.正确B.错误11.SPLD器件分为几类()。

A.PROMB.PLAC.PALD.GAL12.Verilog HDL支持赋值语句。

()A.正确B.错误13.PLD是Programmable Logic Device,可编程逻辑器件的缩写。

()A.正确B.错误14.用PLD器件实现设计的优势有哪些()?A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD15.Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。

()A.正确B.错误第2卷一.综合考核(共15题)1.综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。

()A.正确B.错误2.Synplify是一种FPGA/CPLD的逻辑综合工具。

17春福师《EDA技术》在线作业二

17春福师《EDA技术》在线作业二

2017秋17春福师《EDA技术》在线作业二一、多选题(共10 道试题,共20 分。

)1. SPLD器件分为几类()。

A. PROMB. PLAC. PALD. GAL正确答案:2. 基于EDA技术的设计中,通常有两种设计思路()。

A. 自顶向下B. 自底向上C. 自前向后D. 自后向前正确答案:3. IP核一般分为哪几种()。

A. 硬核B. 固核C. 软核D. 以上全不对正确答案:4. TOP-down设计一般分为哪几个层次()。

A. 系统级B. 功能级C. 门级D. 开关级正确答案:5. 常用的综合工具有哪些()。

A. FPGA ExpressB. FPGA compilerC. Synplify Pro正确答案:6. 衡量仿真器性能的重要指标有哪些()。

A. 仿真速度B. 仿真的准确性C. 仿真的易用性正确答案:7. 状态机常用的编码方式有()。

A. 顺序编码B. 格雷编码C. 约翰逊编码D. 一位热码正确答案:8. 基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。

A. 设计输入B. 综合C. 布局布线D. 仿真和编程正确答案:9. ASIC电路特点描述正确的是()。

A. 周期长B. 投入高C. 功耗低D. 省面积正确答案:10. 常用的集成FPGA/CPLD开发工具有哪些()。

A. MAX+plus IIB. Quartus IIC. ISED. ispLEVER正确答案:福师《EDA技术》在线作业二二、判断题(共40 道试题,共80 分。

)1. 硬件综合器和软件程序编译器没有本质区别。

A. 错误B. 正确正确答案:2. V erilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。

A. 错误B. 正确正确答案:3. V erilog HDL支持循环语句。

A. 错误B. 正确正确答案:4. V erilog HDL和VHDL目前还都不是IEEE标准。

A. 错误B. 正确正确答案:5. PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。

EDA技术考试试卷

EDA技术考试试卷

《EDA技术》上机考试试卷****班(90分钟)直接将答案敲写在试卷上(个别题除外,看清题目说明)答题完成后,使用“文件”菜单中的“另存为”选项,将此试卷另保存为“学号后两位+姓名.DOC”文件(例如 01王五.DOC ),并且将改好名字的文件放置到D盘考生文件夹中去,考生文件夹同样以命名为“学号后两位+姓名”。

最后将该文件夹上传到交卷机,完成交卷。

一、填空题1、结构体有三种描述方式,分别是( 行为 )描述、( 数据流 )描述和( 控制 )描述。

2、构成一个完整的VHDL语言程序的五个基本结构,其包括配置、库、程序包,还有(结构体)、(实体)。

3、VHDL的数据对象包括(常量)、(变量)和(信号),它们是用来存放各种类型数据的容器。

4、图形文件的扩展名是( .gdf );使用VHDL语言,文本设计文件的扩展名是( .vhd )。

5、给信号赋值的赋值语句是( <= ),给变量赋值的赋值语句是(:= )。

二、选择题1、在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是(B )。

A. PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。

B. 敏感信号参数表中,不一定要列出进程中使用的所有输入信号;C.进程由说明部分、结构体部分、和敏感信号三部分组成;D.当前进程中声明的变量不可用于其他进程2、一个项目的输入输出端口是定义在( A)A. 实体中;.B. 结构体中;C. 任何位置;D. 进程中。

3、MAXPLUS2中编译VHDL源程序时要求(C )A. 文件名和实体可以不同名;B. 文件名和实体名无关;C. 文件名和实体名要相同;D. 不确定。

4、下面不属于顺序语句的是( C )A. IF语句;B. LOOP语句;C. PROCESS语句;D. CASE语句。

5、下列标识符中,( B)是不合法的标识符。

A. State0B. 9moonC. Not_Ack_0D. signall三、VHDL程序改错:找出下列程序错误之处并加以修改,直至无错为止。

福建师范大学19年8月课程考试EDA技术作业考核试题[答案]

福建师范大学19年8月课程考试EDA技术作业考核试题[答案]

《EDA技术》教学中心专业学号姓名成绩第一题:填空题(每空2分,共30分)1.用EDA计与实现。

2.目前国际上较大的PLD器件制造公司有 LATTICE莱迪思公司和 XILINX西林公司。

3.完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。

4.阻塞性赋值符号为 = ,非阻塞性赋值符号为5.可编程器件分为固定逻辑器件和可编程逻辑器件。

6.随着EDA应用于Verilog HDL设计当中。

7.一般把EDA EDA 三个阶段。

8.当前最流行的并成为IEEE标准的硬件描述语言包括 VHDL语言和Verilog HDL语言。

第二题:简答题(每题5分,共20分)1.现代EDA技术的特点有哪些?现代信息技术的最大特点是扩展和延伸了人的信息功能,人类信息的交流和传播在时间和空间上大大缩短。

2.FPGA与ASIC在概念上有什么区别?FPGA:即现场可编程门阵列,亮点在于它的可编程性,这个给设计实现带来了很大的方便。

也为降低设计成本提供了可行方案,但是速度较之相同工艺的asic要慢。

ASIC:即专用集成电路,亮点在于专用,量身定制所以执行速度较快,比同等工艺的FPGA 来说即比FPGA快,而且可以节省在FPGA中的一些没有使用的逻辑实现,大规模生产的话成本也会比FPGA低,3.结合自己的使用情况谈谈对EDA工具的认识。

EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB 的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。

这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。

《EDA技术》复习题-电子版答案

《EDA技术》复习题-电子版答案

一、填空题1、目前国际上较大的PLD器件制造公司有Altera 和Xilinx 公司。

2、当前最流行并成为IEEE标准的硬件描述语言包括VHDL 和V erilog HDL 。

3、高密度可编程逻辑器件HDPLD包括EPLD 、CPLD 和FPGA 。

4、PLD从集成密度上可分为LDPLD 和HDPLD两类,其中HDPLD包括__EPLD_ ____、__ CPLD_____和___FPGA _____三种。

5、EDA设计流程包括设计准备、设计输入、设计处理和器件编程四个步骤。

6、图形文件的扩展名是_.bdf__;QuartusII所建工程的扩展名是_.qpf_;自建元件图形符号文件的扩展名_.bsf__;Verilog HDL所编程序的扩展名为__.v__ 。

7、图形文件的扩展名是 .bdf ;矢量波形文件的扩展名是.vwf ;自建元件图形符号文件的扩展名 .bsf 。

8、可编程逻辑器件的优化过程主要是对__速度__和___资源___的处理过程即时间优化和面积优化。

9、EDA设计输入主要包括__图形输入__、__ 文本输入和__ 波形__输入。

10、设计处理的最后一步是产生可供器件编程使用的数据文件,对CPLD来说是产生熔丝图文件即JEDEC文件,对于FPGA来说是产生位流数据文件Bitstream 。

11、EDA设计输入主要包括图形输入、文本输入和波形输入。

12、设计优化主要包括面积优化和速度优化。

13、Verilog HDL语言的扩展名为 .v 。

14、EDA的中文名称是电子设计自动化。

15、EDA仿真分为功能仿真又称前仿真、系统级仿真或行为仿真,用于验证系统的功能;时序仿真又称后仿真、电路级仿真,用于验证系统的时序特性、系统性能。

16、一般把EDA技术的发展分为_ __CAD____、__ CAE_____和___EDA____三个阶段。

17、阻塞型赋值符号为= ,非阻塞型赋值符号为<= 。

EDA技术试卷试题库题集.docx

EDA技术试卷试题库题集.docx

[70%基础题, 20%中档题, 10%提高题(试题容量: 20 套试卷,其中每套试题填空题 10 空(每空 2 分),选择题 10 题(每题 2 分)),简答题 4 题(每题 5 分),分析题 2 题(每题 10 分),设计题 2 题(每题 10 分)。

]基础题部分填空题( 140 空)1.一般把EDA技术的发展分为(CAD)、( CAE)和( EDA)三个阶段。

2. EDA 设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。

3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真 , 因此又称为(功能仿真)。

4. VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。

5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。

6.以 EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者( CPLD)芯片中,完成硬件设计和验证。

7. MAX+PLUS的文本文件类型是(.VHD)。

8.在 PC上利用 VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。

9. VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。

10.常用 EDA 的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。

11.在 VHDL 程序中,(实体)和(结构体)是两个必须的基本部分。

12. 将硬件描述语言转化为硬件电路的重要工具软件称为(HDL综合器)。

13、 VHDL 的数据对象分为(常量)、(变量)和(信号) 3 类。

14、 VHDL 的操作符包括(算术运算符)和(符号运算符)。

15、常用硬件描述语言有(Verilog HDL)、(AHDL)以及(VHDL)。

16、 VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。

17、 VHDL 同或逻辑操作符是(XNOR)。

福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案1

福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案1

福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.Verilog HDL支持循环语句。

()A.正确B.错误2.Verilog HDL不支持条件语句。

()A.正确B.错误3.基于EDA技术的设计中,通常有两种设计思路()。

A.自顶向下B.自底向上C.自前向后D.自后向前4.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。

()A.正确B.错误5.ISP和专用的编程器是FPGA常用的两种编程方式。

()A.正确B.错误6.Verilog HDL中assign为持续赋值语句。

()A.正确B.错误7.目前在数字系统的设计中,主要采用Bottom-UP设计为主。

()A.正确B.错误8.常用的综合工具有哪些()。

A.FPGA ExpressB.FPGA compilerC.Synplify Pro9.目前常用的硬件描述语言为:()。

A.VerilogB.VHDLC.VCD.VB10.Verilog HDL中的变量一般分为两种数据类型:net型和variable型。

()A.正确B.错误11.Verilog HDL和VHDL目前还都不是IEEE标准。

()A.正确B.错误12.EDA技术发展阶段描述正确的是()。

A.CAD阶段B.CAE阶段C.EDA阶段D.以上都不对13.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。

A.设计输入B.综合C.布局布线D.仿真和编程14.有限状态机的复位分为两种:同步复位和异步复位。

()A.正确B.错误15.CAE是Computer Aided Engineering,计算机辅助工程的缩写。

()A.正确B.错误第2卷一.综合考核(共15题)1.JTAG是Joint Test Action Group,联合测试行动组的缩写。

()A.正确B.错误2.PROM(Programmable Read Only Memory),可编程只读存储器的缩写。

福建师范大学2021年8月《EDA技术》作业考核试题及答案参考2

福建师范大学2021年8月《EDA技术》作业考核试题及答案参考2

福建师范大学2021年8月《EDA技术》作业考核试题及答案(参考)1. Excel工作簿只能有1至255个工作表。

( )此题为判断题(对,错)。

参考答案:正确2. 在多文件结构的程序中,通常把含有 main( )函数的文件称为( )。

A. 主文件B. 实现文件C. 程序文件D. 头文件参考答案:A3. 以下外设中,既可作为输入设备又可作为输出设备的是( )A.绘图仪B.键盘C.磁盘驱动器D.激光打印机参考答案:C4. 电容器可分为固定电容、可变电容和电解电容。

其中电解电容有正负极之分。

( )电容器可分为固定电容、可变电容和电解电容。

其中电解电容有正负极之分。

( )正确5. 正弦相量与复数有否区别?正弦相量与复数有否区别?正弦相量是时间t的函数;复数是一个数,不是时间t的函数;复数与正弦相量是两个完全不同的数学概念。

为表示正弦相量与复数的区别,在正弦相量上加“·”,以示与复数和有效值的区别。

正弦相量虽然是时间t的函数,但仅表示了正弦量三要素中的二个要素,未表达出其角频率要素。

用相量或复数表示正弦量,仅是表示而已。

主要是借助其运算方法,便于解决正弦量之间的加减乘除问题。

6. 信息资源备份应按下列项目进行:( )。

A.全盘备份B.增量备份C.关键项目备份D.后备媒体信息资源备份应按下列项目进行:( )。

A.全盘备份B.增量备份答案:ABCD7. 下列8位二进制数的补码,最大的是( )A.10001000B.11111111C.00000000D.00000001 下列8位二进制数的补码,最大的是( )A.10001000B.11111111C.00000000D.00000001正确答案:D8. CAE是Computer Aided Engineering,计算机辅助工程的缩写。

( )A.正确B.错误参考答案:A9. 数据链路层的数据传输单元( )A、数据帧B、比特流C、分组D、报文参考答案A10. SPLD器件分为几类( )。

eda考试试题和答案

eda考试试题和答案

eda考试试题和答案**EDA考试试题和答案**一、单项选择题(每题2分,共20分)1. EDA技术中,“EDA”代表的是以下哪个选项?A. 电子设计自动化B. 电子数据自动化C. 电子设计自动化D. 电子文档自动化答案:A2. 在EDA软件中,用于绘制电路原理图的软件模块通常被称为什么?A. PCB LayoutB. Schematic CaptureC. SimulationD. FPGA Programming答案:B3. 下列哪个不是EDA软件的主要功能?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械设计答案:D4. 在EDA技术中,PCB指的是什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 处理器控制板答案:A5. 在EDA软件中,用于进行电路仿真分析的模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:C6. EDA技术中,FPGA代表什么?A. 现场可编程逻辑阵列B. 固定逻辑阵列C. 现场可编程门阵列D. 固定可编程逻辑阵列答案:C7. 在EDA技术中,以下哪个不是PCB设计的基本步骤?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械加工答案:D8. 在EDA软件中,用于生成PCB版图的软件模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:B9. 在EDA技术中,以下哪个不是电路仿真分析的常用软件?A. PSpiceB. MultisimC. AutoCADD. LTspice答案:C10. EDA技术中,以下哪个不是版图设计中常用的文件格式?A. .dxfB. .gdsC. .pdfD. .drill答案:C二、多项选择题(每题3分,共15分)11. EDA技术中,以下哪些是电路仿真分析时需要考虑的因素?A. 元件模型B. 电源电压C. 机械结构D. 温度变化答案:A, B, D12. 在EDA软件中,以下哪些是版图设计时需要考虑的因素?A. 元件布局B. 走线宽度C. 电源管理D. 信号完整性答案:A, B, D13. EDA技术中,以下哪些是FPGA设计时需要考虑的因素?A. 逻辑门数量B. 时钟频率C. 电源管理D. 散热设计答案:A, B, C, D14. 在EDA技术中,以下哪些是PCB设计时需要考虑的因素?A. 层数B. 板厚C. 元件封装D. 机械加工答案:A, B, C15. EDA技术中,以下哪些是电路设计时需要考虑的因素?A. 信号完整性B. 电源完整性C. 电磁兼容性D. 机械兼容性答案:A, B, C三、判断题(每题2分,共10分)16. EDA技术可以完全替代传统的手工电路设计方法。

福师18年2月课程考试《EDA技术》作业考核答案

福师18年2月课程考试《EDA技术》作业考核答案
8.表达式:8`h55&&8`haa的值为1,表达式:8`h55 & 8`haa的值为多少0。
9.语句out=sel?inl:in0;表示的意义是:输出选择in1或in0。
10.语句{3{a,b}}表示的意义是:ab点的坐标。
第二题:简答题(每题5分,共20分)
1.什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?
福建师范大学网络与继续教育学院
《EDA技术》(开卷)
姓名:
专业:
学号:
学习中心:
第一题:填空题(每题3分,共30分)
1.EDA技术的发展分为CAD、CAE和___EDA_____三个阶段。
2.EDA的设计输入主要包括文本输入、图形输入、波形输入。
3.当前最流行的并成为IEEE标准的硬件描述语言包括:__VHDL__和Verilog HDL。
2.逻辑综合,将用一定的逻辑表达手段表达出来的设计经过一系列的操作,分解成一系列的逻辑电路及对应关系(电路分解)。
3.目标器件的适配,在选用的目标器件中建立这些基本逻辑电路的对应关系(逻辑实现)。
4.目标器件的编程/下载,将前面的软件设计经过编程变成具体的设计系统(物理实现)。
5.仿真/硬件测试,验证所设计的系统是否符合要求。同时,再设计过程中要进行有关“仿真”,即模拟有关设计结果,验证是否与设计构想相符。
input load,clk,reset;
input[7:0] data;
output[7:0] out;
reg[7:0] out;
always @ (posedge clk)//clk上升沿触发
4.有三种端口类型,分别是物理端口、逻辑端口和自定义端口。
5.输入和双向端口不能声明为寄存器型。

福师18年2月课程考试《EDA技术》作业考核答案 (2)

福师18年2月课程考试《EDA技术》作业考核答案 (2)
第四题:设计题(每题20分,共20分)
用Verilog HDL设计一个74138的译码器电路。
module CT74138(C,B,A,G1,G2AN,G2BN,Y0N,Y1N,Y2N,Y3N,Y4N,Y5N,Y6N,YVN);
input C,B,A,G1,G2AN,G2BN;
output Y0N,Y1N,Y2N,Y3N,Y4N,Y5N,Y6N,Y7N;
4.阻塞赋值和非阻塞赋值有什么本质的区别?
Verilog RTL 逻辑电路的写法,时钟沿触发的逻辑电路中必须用 <= 综合时产生时序逻辑电路,用@* 触发的用=综合时产生组合逻辑电路。仿真时会区分 <= 和 =语意。<= 产生的数值会作用于下一个时钟周期。而=语意会立即作用于当前周期。
阻塞赋值是按需执行,非阻塞赋值是并行执行。
非阻塞赋值操作只能用于对寄存器类型变量进行赋值,因此只能用在“initial”块和“always”块等过程块中,而非阻塞赋值不允许用于连续赋值。
第三题:程序分析题(每题15分,共30分)
1.分析程序并画出逻辑电路图及逻辑表达式:
module AOI(A,B,C,D,F);
input A,B,C,D;
8.表达式:8`h55&&8`haa的值为8,表达式:8`h55 & 8`haa的值为多少16。
9.语句out=sel?inl:in0;表示的意义是:如果out=sel为真返回in1如果为假返回in0。
10.语句{3{a,b}}表示的意义是:3个{a,b}拼接成的总线。
第二题:简答题(每题5分,共20分)
福建师范大学网络与继续教育学院
《EDA技术》(开卷)
姓名:
专业:
学号:
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
endmodule
2.详细分析下面程序功能:
module count(out,data, load, reset,clk) ;
input load,clk,reset;
input[7:0] data;
output[7:0] out;
reg[7:0] out;
always @ (posedge clk)
福建师范大学网络与继续教育学院
《EDA术的发展分为、和______________三个阶段。
2.EDA的设计输入主要包括、、。
3.当前最流行的并成为IEEE标准的硬件描述语言包括:_____________和。
4.有三种端口类型,分别是、_______________和。
9.语句out=sel?inl:in0;表示的意义是:。
10.语句{3{a,b}}表示的意义是:。
第二题:简答题(每题5分,共20分)
1.什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?
2.基于FPGA/CPLD的数字系统没计流程包括哪些步骤?
3.说明GAL的OLMC有什么特点,它怎样实现可编程组合电路和时序电路?
4.阻塞赋值和非阻塞赋值有什么本质的区别?
第三题:程序分析题(每题15分,共30分)
1.分析程序并画出逻辑电路图及逻辑表达式:
module AOI(A,B,C,D,F);
input A,B,C,D;
output F;
wire A,B,C,D,F;
assign F=~((A&B) | (~(C&D)));
5.输入和双向端口不能声明为型。
6.在常量表达示中,二进制是用字母表示,八进制是用字母表示,十六进制是用字母表示。
7.宽度为1位的变量称为,如果在变量声明中没有指定位宽,则默认为。线宽大于1位的变量(包括net型和variable型)称为。
8.表达式:8`h55&&8`haa的值为,表达式:8`h55 & 8`haa的值为多少。
begin
if ( !reset) out<=8'h00 ;
else if (load) out<=data;
else out<=out+1 ;
end
endmodule
第四题:设计题(每题20分,共20分)
用Verilog HDL设计一个74138的译码器电路。
相关文档
最新文档