多功能数字钟(课程设计版)
多功能数字钟课程设计报告DOC
(3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。计数器可以使用十进制的74LS160。
图5 a ) 74160逻辑功能示意图b ) 74160引脚图
图6 74160逻辑功能表
②“时”电路
根据题目要求,“时”是24进制的,而且是从“00”到“23”,可以使用十进制的74LS160来实现这个功能。首先将两片74LS160通过串行进位方式接成百进制计数器,当计数器从全0状态开始计数,计入23个脉冲时,经与非门译码产生低电平信号立刻将两片74LS160同时置零,于是便得到了24进制的计数器。
3、电路调试方法与结果说明
(1)电路调试方法
①数码管的调试:可以用万用表的负极接数码管的3或8脚,正极依次接数码管剩余的管脚所接电阻的另一端,并将万用表调至测发光二极管档位,从而测试数码管的显示是否正确。
②“时”“分”“秒”电路的调试:将“时”“分”“秒”电路连接完成后,可以用函数信号发生器产生的1Hz方波信号分别作为“时”、“分”、“秒”的个位74LS160的计数脉冲,从而测试“时”是否为24进制,“分”和“秒”是否为60进制。
多功能数字钟课程设计要求
课程设计内容与要求
一、设计要求
用中小规模集成芯片设计并制作多功能数字钟,具体要求如下:
1、准确计时,以数字形式显示时(00~23)、分(00~59)、秒(00~59)的时间。
多功能数字钟课程设计
多功能数字钟课程设计
1 多功能数字钟课程设计
多功能数字钟课程设计是一个实验探索类的课程,它在激发学生
学习热情和强化学生的发明能力的同时,兼顾他们在电子信息技术领
域的技能训练。
2 课程背景
该课程的背景主要来自近几年电子信息技术的飞快发展和日新月
异的变化,在21世纪,科技的发展发展不断推动着社会的发展。
因此,人们对信息技术人才的要求越来越多,技术和工程人才岗位也在变得
越来越多,而这背后便是科技发展需要更多深入的研究和精深的工程
技术。
3 课程介绍
本课程的主要内容包括:数字信号与系统、单片机编程、数字电
路设计、数字技术应用研究等。
主要让学生掌握多功能数字钟的基本
原理,能够设计制作出各种功能现代化的多功能数字钟。
学生可以在
课堂上讨论各种电子信息工程技术,并根据自己的喜好来实现功能,
比如加入计算温度、日期显示、天气消息显示等功能,同时还可以学
习一些单片机编程语言,拿实际的案例来研究各种电子元器件的组装
和工程设计的流程,从而加深自身的科技能力和创新思维。
4 课程目标
该课程目标旨在培养学生具有独立创新思维、独立完成科技领域及其他领域复杂问题研究解决过程的能力,培养跨学科合作精神,在学习电子信息工程技术的基础上,增强学生在团队合作和沟通能力上的综合素质,提高学生的科技竞技能力,增强学生的社会责任感。
多功能数码钟课程设计
多功能数码钟课程设计一、课程目标知识目标:1. 学生能理解多功能数码钟的基本原理,掌握其构造和功能。
2. 学生能运用所学知识,分析并解释数码钟显示时间、闹钟设定、计时器等基本功能的工作原理。
3. 学生能了解并描述电子元件如集成电路、晶体振荡器、LED显示屏等在数码钟中的作用。
技能目标:1. 学生能够独立完成多功能数码钟的组装和调试。
2. 学生能够通过实际操作,掌握使用多功能数码钟进行时间管理、闹钟设定和计时等技能。
3. 学生能够运用所学知识,解决多功能数码钟使用过程中遇到的问题。
情感态度价值观目标:1. 培养学生对电子科技的兴趣,激发他们探索科学技术的热情。
2. 培养学生的团队合作精神,使他们学会在组装和调试过程中相互帮助、共同解决问题。
3. 培养学生珍惜时间、合理安排时间的意识,引导他们将所学知识应用于日常生活中,提高生活品质。
本课程旨在让学生通过动手实践,掌握多功能数码钟的基本原理和操作技能,同时培养他们的科技兴趣和团队协作能力。
针对学生年级特点,课程内容注重知识性与实践性的结合,以学生为主体,充分调动他们的学习积极性。
通过本课程的学习,使学生能够将理论知识与实际应用相结合,提高解决问题的能力。
二、教学内容本章节教学内容主要包括以下几部分:1. 数码钟原理:介绍数码钟的基本工作原理,如时钟电路、计数器、显示电路等,关联教材中有关电子计数器、数字显示章节。
2. 电子元件认知:学习集成电路、晶体振荡器、LED显示屏等电子元件的作用和特性,结合教材中电子元件相关章节。
3. 多功能数码钟组装与调试:详细讲解多功能数码钟的组装过程,包括电路连接、元件焊接、调试等,涉及教材实践操作章节。
4. 多功能数码钟操作与应用:学习如何使用多功能数码钟进行时间管理、闹钟设定、计时等功能,结合教材中关于电子产品操作与应用的内容。
教学内容安排与进度:第一课时:数码钟原理学习,介绍电子计数器、数字显示等基本概念。
第二课时:电子元件认知,学习集成电路、晶体振荡器、LED显示屏等元件的作用。
多功能数字时钟课程设计
多功能数字时钟课程设计多功能数字时钟是一种十分常见且使用广泛的计时工具,随着数字化的推进,数字时钟在人们的日常生活中扮演着越来越重要的角色。
针对数字时钟的课程设计就显得尤为重要。
在下面的文章中,我们将针对多功能数字时钟的课程设计分步骤地进行阐述。
第一步:课程目标的制定在开展数字时钟课程的设计之前,需要明确该课程的教学目标。
数字时钟涉及到的知识点比较多,目标制定的准确性和明确性可以使得教学更加高效。
该课程目标的一些重要的方面包括:1. 了解数字时钟的组成和工作原理。
2. 掌握数字时钟的常用功能和操作方式。
3. 能够利用数字时钟进行实际生活中的计时操作。
4. 学会数字时钟的基本维护和保养。
第二步:课程内容的选择数字时钟涉及到的内容很多,但是每个人的知识背景在一个不同的水平,一些学生可能只知道数字时钟的基本概念。
在选择课程内容时,要根据学生的背景进行选择,使得课程设计具有一定的针对性。
一些重要的课程内容有:1. 数字时钟的结构。
2. 常见数字时钟的类型。
3. 如何使用数字时钟进行计时操作。
4. 数字时钟的维护和保养。
第三步:课程教学方法的选择在数字时钟的课程教学中,教学方法是非常重要的。
如果教学方法不当,即使内容选择恰当,也可能使得学生无法真正理解和掌握数字时钟的重要性和使用方法。
一些教学方法有:1. 讲解式教学方法:教师直接讲解数字时钟的相关知识和技能。
2. 实验式教学方法:由学生亲自操作数字时钟,从而掌握使用方法。
3. 讨论式教学方法:教师引导学生进行交流和探讨。
第四步:课程评价方法的选择在数字时钟课程结束后,教学评价是必不可少的一件事。
这对于学生学习的效果检验和课程的改进都具有重要意义。
一些评价方法有:1. 笔试方式:通过让学生考试,检查学生对数字时钟的理解程度。
2. 实际操作方式:让学生在数字时钟的操作过程中检查他们是否真正理解。
3. 交流方式:从学生的角度出发,从而更好地了解数字时钟课程的情况。
多功能数字时钟课程设计
EDA技术课程设计设计题目:多功能数字钟设计学号:专业年级: 2011级通信工程学院:电气信息学院姓名: ************ 指导教师: *********** 时间:摘要随着人类科技文明的发展,人们对于时钟的要求在不断地提高。
时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。
在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。
本设计是基于Altera公司出品QuartusII软件,利用原理图和VHDL语言结合的方式完成的多功能数字钟,并最终以硬件实现。
该多功能数字钟的设计电路由24小时制的时、分、秒计时电路,快速校时电路,闹铃电路、整点报时电路以及显示电路等电路组成。
本设计是当进行整点的倒计时3秒时,让LED来闪烁进行整点报时的提示。
本设计吸收了硬件软件化的思想,大部分功能通过软件来实现,使电路简洁明了,系统稳定性大大提高。
本设计不仅成功实现了多功能数字钟的基本功能,还有有一定的创新功能。
关键词:数字钟、频率、端口AbstractWith the development of human civilization, people to the requirement of clock in constant increase. The clock is not only to be seen as a kind of tool used to display the time, in many practical applications and it needs to be able to achieve more other functions. High precision, multi-function, small volume, low power consumption, is the trend of the development of the modern clock. Under this trend, digital clock, muti_function change has become a dominant design of modern clock production research direction.This design is based on Altera company QuartusII software, based on the principle diagram and VHDL language combination of complete multi-function digital clock, and ultimately to hardware implementation. Design of themulti-function digital clock circuit is made of 24 hours, minutes and seconds when timing circuit, quick school circuit, alarm circuit, hour circuit and display circuit circuit composition and so on. This design is when the countdown to the hour for 3 seconds, let the LED flashing sign in on hour.This design absorbs the ideas of hardware and software, most of the functionality through software to realize, the circuit is simple, clear system stability is greatly increased. This design not only successfully realized the basic function ofmulti-function digital clock, have certain innovation and function.Keywords:Digital clock, frequency, port目录摘要 (1)一、 EDA介绍 (3)二、VHDL简介 (3)2.1 VHDL的特点 (3)2.2 VHDL发展史 (4)三、Quartus II 概述 (5)四、设计要求 (6)五、设计实现 (6)六、端口脚分配表 (11)七、结果与现象 (12)八、总结 (13)参考文献 (13)一、EDA介绍EDA是电子设计自动化(Electronic Design Automation)缩写。
多功能数字钟的课程设计报告
EDA技术课程设计多功能数字钟学院:城市学院专业、班级:姓名:指导老师:2015年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (8)(5)高3位数和低4位数并置输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (10)6、设计心得体会 (11)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。
2、要求数字钟具有清零、调节小时、分钟功能。
3、具有整点报时,整点报时的同时输出喇叭有音乐响起。
二、总体框图多功能数字钟总体框图如下图所示。
它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。
系统总体框图三、选择器件网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动4位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。
四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。
(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。
VHDL程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour24 isport( clk: in std_logic;reset:instd_logic;qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0);ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));end hour24;architecture behav of hour24 isbeginprocess(reset,clk)beginif reset='1' then qh<="000"; ql<="0000";elsif(clk'event and clk='1') thenif (qh<2) thenif (ql=9) thenql<="0000"; qh<=qh + 1;else ql<=ql+1;end if;elseif (ql=3) then ql<="0000"; qh<="000";else ql<=ql+1;end if;end if;end if;end process;end behav;仿真波形如下:VHDL程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY min60 ISPORT(reset:IN STD_LOGIC;load:IN STD_LOGIC;clk1:IN STD_logic;clk:IN STD_LOGIC;co:OUT STD_LOGIC;qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end min60;ARCHITECTURE behave OF min60 ISBEGINPROCESS(clk,reset,load)BEGINif(reset='1') thenqh<="000"; ql<="0000"; elsif(load='1') then co<=clk1;elsif(clk'EVENT and clk='1') thenif(ql=9) thenql<="0000";--低4位清零if(qh=5) then qh<="000";--高4位清零else qh<=qh+1 ;--计数功能的实现;end if;else ql<=ql+1; --低4位加1end if;if(qh=5 and ql=9) then co<='1';else co<='0';end if;end if;end process;end behave;仿真波形如下:VHDL程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY sec60 ISPORT(reset:IN STD_LOGIC;load:IN STD_LOGIC;clk1:IN STD_LOGIC;co:OUT STD_LOGIC;qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END sec60;ARCHITECTURE behave OF sec60 ISBEGINPROCESS(clk,reset,load)BEGINif(reset='1')thenqh<="000"; ql<="0000"; elsif(load='1')then co<=clk1;elsif(clk'EVENT and clk='1') THENif(ql=9)thenql<="0000";--低4位清零if(qh=5) then qh<="000";--高4位清零 else qh<=qh+1 ;--计数功能的实现; end if;else ql<=ql+1; --低4位加1end if;if(qh=5 and ql=9) then co<='1';else co<='0';end if;end if ;end process;end behave;波形仿真如下:(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。
单片机多功能电子数字钟课程设计报告
多功能电子数字钟设计数字钟在日常生活中最常见, 应用也最广泛。
本文主要就是设计一款数字钟, 以89C52单片机为核心, 配备液晶显示模块、时钟芯片、等功能模块。
数字钟采用24小时制方式显示时间, 定时信息以及年月日显示等功能。
文章的核心主要从硬件设计和软件编程两个大的方面。
硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。
软件用C语言来实现, 主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。
关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1.MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。
这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。
8051单片机的结构特点有以下几点: 8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源, 2个中断优先级;全双工串行口。
定时器/计数器8051内部有两个16位可编程定时器/计数器, 记为T0和T1。
16位是指他们都是由16个触发器构成, 故最大计数模值为2 -1。
可编程是指他们的工作方式由指令来设定, 或者当计数器来用, 或者当定时起来用, 并且计数(定时)的范围也可以由指令来设置。
这种控制功能是通过定时器方式控制寄存器TMOD来完成的。
在定时工作时, 时钟由单片机内部提供, 即系统时钟经过12分频后作为定时器的时钟。
技术工作时, 时钟脉冲由TO和T1输入。
中断系统8051的中断系统允许接受五个独立的中断源, 即两个外部中断申请, 两个定时器/计数器中断以及一个串行口中断。
外部中断申请通过INTO和INT1(即P3.2和P3.3)输入, 输入方式可以使电平触发(低电平有效), 也可以使边沿触发(下降沿有效)。
2.8051的芯片引脚如图1-2所示VCC: 供电电压。
多功能数字钟(课程设计版)
》题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
:3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:指导教师签名:年月日、系主任(或责任教师)签名:年月日索引摘要........................................................ 错误!未定义书签。
Abstract .................................................... 错误!未定义书签。
—1系统原理框图.............................................. 错误!未定义书签。
2方案设计与论证............................................ 错误!未定义书签。
时间脉冲产生电路........................................ 错误!未定义书签。
分频器电路.............................................. 错误!未定义书签。
时间计数器电路.......................................... 错误!未定义书签。
译码驱动及显示单元电路.................................. 错误!未定义书签。
多功能数字时钟课程设计
多功能数字时钟课程设计一、课程目标知识目标:1. 让学生掌握多功能数字时钟的基本知识,包括时分秒显示、闹钟设定、计时器等功能;2. 使学生了解数字时钟的工作原理,如晶振振荡、计数器等基本组成部分;3. 引导学生了解数字时钟在生活中的应用,提高对时间管理的认识。
技能目标:1. 培养学生动手操作、团队协作的能力,通过组装多功能数字时钟,提高实践操作技能;2. 培养学生运用已学知识分析问题、解决问题的能力,如调试数字时钟程序;3. 培养学生运用信息技术手段,如编程软件、仿真工具等,进行项目实践。
情感态度价值观目标:1. 培养学生对数字时钟的兴趣,激发学习电子技术的热情;2. 培养学生珍惜时间、合理规划生活的意识,增强时间观念;3. 培养学生勇于尝试、不断创新的精神,提高自信心。
分析课程性质、学生特点和教学要求:1. 课程性质:本课程属于信息技术与电子技术的跨学科综合实践活动,注重理论与实践相结合;2. 学生特点:六年级学生对新鲜事物充满好奇,动手能力强,具备一定的信息技术素养,但需加强团队协作和问题解决能力的培养;3. 教学要求:结合学生特点,设计具有趣味性、实践性和挑战性的教学活动,注重引导学生主动探究、合作交流,提高综合素养。
1. 知识方面:掌握多功能数字时钟的基本知识和工作原理;2. 技能方面:具备组装、调试多功能数字时钟的能力;3. 情感态度价值观方面:增强时间观念,培养创新精神和团队协作意识。
二、教学内容1. 数字时钟基础知识:- 时分秒显示原理- 数字时钟的基本结构及功能- 晶振振荡器的原理与应用2. 数字时钟组装与调试:- 介绍所需材料、工具及使用方法- 按照步骤组装多功能数字时钟- 调试数字时钟程序,确保正常运行3. 数字时钟项目实践:- 设计并实现闹钟功能- 设计并实现计时器功能- 优化数字时钟功能,提高用户体验4. 教学内容的安排与进度:- 第一课时:数字时钟基础知识学习- 第二课时:数字时钟组装与调试- 第三课时:闹钟功能设计与实现- 第四课时:计时器功能设计与实现- 第五课时:项目优化与总结5. 教材章节及内容列举:- 第四章 电子技术应用:数字时钟的原理与制作- 4.1 数字时钟基础知识- 4.2 数字时钟的组装与调试- 4.3 数字时钟功能拓展6. 教学内容科学性与系统性:- 确保所选教学内容符合学生认知水平,注重知识点的衔接;- 教学内容注重实践操作,培养学生动手能力和创新能力;- 教学内容具有系统性,从基础知识到项目实践,逐步提高学生综合素养。
课程设计-多功能数字钟
多功能数字钟电路设计一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、具体目的任务●熟悉集成电路的引脚安排。
●掌握各芯片的逻辑功能及使用方法。
●了解面包板结构及其接线方法。
●了解数字钟的组成及工作原理。
●熟悉数字钟的设计与制作。
三、基本设计指标⏹时间以12或24小时为一个周期;⏹显示时、分、秒;⏹有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。
四、扩展功能(可自选)1、定时控制2、仿广播电台正点报时3、报整点时数4、触摸报整点时数ⅰ(7时59分闹时1分钟)ⅱ(59分51秒、53秒、55秒、57秒、59秒)ⅲ(1点钟报一声、2点钟报两声、…)ⅳ(触摸数字钟的某端,能够报当时的整点时数)五、设计要求⏹画出设计电路原理图和实际硬件接线图。
⏹电路元器件及参数选择。
⏹自行装配和调试,并能发现问题和解决问题。
⏹编写课程设计报告,写出设计与制作的全过程,附上有关资料和图纸,有心得体会。
数字钟电路系统的组成框图主体电路原理图(参考图)24小时数字钟所用芯片管脚74LS9274LS92V4B4A4Y3B3A3Y1211109814133456712&&VCC2D3C2BNC2A2Y1B1ANC1D1C1YGND(a)(b)74LS20V4B4A4Y3B3A3Y87V2D3C2BNC2A2Y(a)(b)数字钟硬件连线图。
数字钟多功能课程设计
数字钟多功能课程设计一、课程目标知识目标:1. 让学生掌握数字钟的基本原理和组成,理解时钟的时、分、秒显示功能的实现。
2. 使学生了解和掌握数字钟多功能设计的相关知识,如闹钟、计时器、温度显示等。
3. 让学生掌握数字钟程序设计的步骤和方法,学会使用相关软件和编程语言进行程序编写。
技能目标:1. 培养学生动手实践能力,能够独立完成数字钟的搭建和程序设计。
2. 培养学生运用所学知识解决实际问题的能力,能够根据需求为数字钟增加新功能。
3. 提高学生的团队协作能力,学会在项目中进行有效沟通与分工。
情感态度价值观目标:1. 培养学生对电子科技的兴趣和热情,激发创新意识。
2. 培养学生严谨的科学态度,注重实验过程中的细节和精确性。
3. 培养学生珍惜时间、合理安排时间的观念,提高时间管理能力。
本课程针对中学生设计,结合学生年龄特点和知识水平,注重理论与实践相结合,以培养学生的动手实践能力和创新精神为核心。
课程目标明确、具体,可衡量,便于后续教学设计和评估。
在教学过程中,教师应关注学生的个体差异,给予不同层次的学生适当指导,使他们在课程中都能获得成长和收获。
二、教学内容1. 数字钟基本原理:介绍时钟的工作原理,数字显示技术,以及时、分、秒的计数关系。
教材章节:《电子技术》第三章第三节“数字显示技术”。
2. 数字钟的组成:分析数字钟的硬件组成,包括微控制器、时钟芯片、显示模块等。
教材章节:《电子技术》第三章第四节“数字时钟电路”。
3. 数字钟多功能设计:讲解闹钟、计时器、温度显示等功能的设计与实现。
教材章节:《电子技术》第四章“数字时钟应用实例”。
4. 程序设计方法:学习数字钟程序设计的步骤,使用编程软件和编程语言进行程序编写。
教材章节:《计算机编程》第二章“C语言基础”。
5. 实践操作:指导学生动手搭建数字钟电路,编写程序,实现基本功能及拓展功能。
教材章节:《电子技术实验》第五章“数字时钟设计与制作”。
6. 项目评估:评估学生完成项目的质量,包括功能实现、程序优化、团队协作等方面。
EDA电子钟多功能数字时钟课程设计(含代码)[优秀]
多功能数字时钟设计说明:1.系统顶层框图:各模块电路功能如下:1.秒计数器、分计数器、时计数器组成最基本的数字钟,其计数输出送7段译码电路由数码管显示.2.基准频率分频器可分频出标准的1HZ频率信号,用于秒计数的时钟信号;分频出4HZ频率信号,用于校时、校分的快速递增信号;分频出64HZ频率信号,用于对按动“校时”,“校分”按键的消除抖动.2.多功能数字钟结构框图:一、系统功能概述已完成功能1.完成时/分/秒的依次显示并正确计数,利用六位数码管显示;2.时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能;3.定时器:实现整点报时,通过扬声器发出高低报时声音;4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整;5.闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃.有静音模式.待改进功能:1. 系统没有万年历功能,正在思考设计方法.2. 应添加秒表功能.二、系统组成以及系统各部分的设计1.时计数模块时计数模块就是一个2位10进制计数器,记数到23清零.VHDL的RTL描述如下:----cnt_h.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_h isport(en,clk,clr:in std_logic;dout:out std_logic_vector(7 downto 0);c:out std_logic);end cnt_h;architecture rtl of cnt_h issignal t:std_logic_vector(7 downto 0);beginprocess(en,clk,clr)variable t:std_logic_vector(7 downto 0);beginif en='1' then --异步使能if clk 'event and clk='1' thent:=t+1;if t(3 downto 0)=X"A" then --个位等于10则十位加1t(7 downto 4):=t(7 downto 4)+1;t(3 downto 0):=X"0"; --个位清零end if;if t>X"23" then --大于23清零t:=X"00";end if;end if;if clr='1' then --异步清零t:=X"00";end if;end if;dout<=t;end process;end rtl;时计数器模块仿真波形如下从仿真波形可知,当计数到23时,下一个时钟上升沿到来时就清零了,符合设计要求.时计数模块框图如下2.分及秒计数模块分及秒计数模块也是一个2位10进制计数器,记数到59清零.VHDL的RTL描述如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_s isport(en,clk,clr:in std_logic;dout:buffer std_logic_vector(7 downto 0);c:out std_logic);end cnt_s;architecture rtl of cnt_s isbeginprocess(en,clk,clr)beginif en='1' thenif clr='1' then --异步清零dout<=X"00";elsif clk 'event and clk='1' thenif dout(3 downto 0)<9 thendout(3 downto 0)<=dout(3 downto 0)+1;c<='0';elsif dout(7 downto 4)<5 thendout(3 downto 0)<=X"0";dout(7 downto 4)<=dout(7 downto 4)+1;elsedout<=X"00";c<='1';end if;end if;else dout<="ZZZZZZZZ";end if;end process;end rtl;分和秒计数器模块仿真波形如下从仿真波形可知,当计数到59时,下一个时钟上升沿到来时就清零了,并且产生进位信号,符合设计要求.分和秒计数模块框图如下3.按键消抖动模块按键消抖动有很多方案,这里选择的是计数消抖,即只当有效电平到来后开始计数,当计数值大于一定值后再输出该有效电平,否则不输出,从而达到消抖目的. VHDL的RTL描述如下:library ieee;use ieee.std_logic_1164.all;entity haoin isport(din,clk:in std_logic;dout:out std_logic); end haoin;architecture rtl of haoin isbeginprocess(din)variable t: integer range 0 to 63:=0;beginif din='1' thenif clk 'event and clk='1'thent:=t+1;if t>10 thendout<='1';t:=t-1;else dout<='0';end if;end if;else dout<='0';t:=0;end if;end process;end rtl;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ring isport(clk: in std_logic;clk500: in std_logic;clk1k:in std_logic;beep:out std_logic);end ring;architecture rtl of ring isbeginprocess(clk)variable t: std_logic;variable n: integer range 0 to 15:=0;beginif clk 'event and clk='1' thent:=not t;n:=n+1;end if;if t='1' and n<11 thenbeep<=clk500;elsif n=11 thenbeep<=clk1k;else beep<='Z';end if;end process;end rtl;library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity clock isport(SA: in std_logic;SB: in std_logic;SC: in std_logic;SD: in std_logic;clk1: in std_logic;dout: buffer std_logic_vector(23 downto 0);--seg_data:out std_logic_vector(7 downto 0);--seg_co米:out std_logic_vector(3 downto 0);beep: out std_logic--led:out std_logic_vector(3 downto 0));end entity clock;architecture rtl of clock isco米ponent cnt_s isport(en,clk,clr:in std_logic;dout:buffer std_logic_vector(7 downto 0);c:out std_logic);end co米ponent;co米ponent cnt_h isport(en,clk,clr:in std_logic;dout:buffer std_logic_vector(7 downto 0));end co米ponent;--co米ponent seg米ain is--port(clk,reset_n:in std_logic;--datain:in std_logic_vector(15 downto 0);--seg_data:out std_logic_vector(7 downto 0);--seg_co米:out std_logic_vector(3 downto 0));--end co米ponent;--co米ponent ring is--port( en: in std_logic;-- clk: in std_logic;--clk500: in std_logic;--clk1k:in std_logic;--beep:out std_logic);--end co米ponent;co米ponent haoin isport(din,clk:in std_logic;dout:out std_logic);end co米ponent;co米ponent naoling isport (h,米:in std_logic_vector(7 downto 0);clk4hzh,clk4hz米:in std_logic;sys_en,sys_rst:in std_logic;h_o,米_o: out std_logic_vector(7 downto 0);beep:out std_logic);end co米ponent;signal reg_h:std_logic_vector(7 downto 0);signal reg_米:std_logic_vector(7 downto 0);signal reg_s:std_logic_vector(7 downto 0);signal reg_米_s:std_logic_vector(7 downto 0):=X"59"; signal reg_米_米:std_logic_vector(7 downto 0):=X"59";signal reg_米_h:std_logic_vector(7 downto 0):=X"59";signal clk_h:std_logic;signal clk_米:std_logic;signal clk_s:std_logic;signal c_s :std_logic;signal c_米:std_logic;signal c_h :std_logic;signal sys_clk1:std_logic;signal sys_clk4:std_logic;signal sys_clk64:std_logic;signal sys_clk500:std_logic;signal sys_clk1k:std_logic;signal clki:integer:=750000;signal sys_rst:std_logic:='0';signal sys_en:std_logic:='1';signal clk_ring,米h:std_logic;signal SAc,SBc,SCc,SDc:std_logic;signal en_r:std_logic;signal NL_reg_h,NL_reg_米:std_logic_vector(7 downto 0);signal NL_ring:std_logic;signal sys_clk4_NL_h,sys_clk4_NL_米:std_logic;beginh:cnt_h port 米ap(en=>sys_en,clk=>clk_h,clr=>sys_rst,dout=>reg_h);米:cnt_s port 米ap(en=>sys_en,clk=>clk_米,clr=>sys_rst,dout=>reg_米,c=>c_米);s:cnt_s port 米ap(en=>sys_en,clk=>sys_clk1,clr=>SCc,dout=>reg_s,c=>c_s);--sled:seg米ain port 米ap(clk=>clk1,reset_n=>SCc,seg_data=>seg_data,seg_co 米=>seg_co米,datain=>dout(15 downto 0));--ring0:ring port 米ap(en=>en_r,clk=>clk_ring,clk500=>sys_clk500,clk1k=>sys_clk1k,beep=>beep); haoin1:haoin port 米ap( SA,sys_clk64,SAc);haoin2:haoin port 米ap( SB,sys_clk64,SBc);haoin3:haoin port 米ap( SC,sys_clk64,SCc);haoin4:haoin port 米ap( SD,sys_clk64,SDc);NL:naoling port 米ap(beep=>NL_ring,h=>reg_h,米=>reg_米,clk4hzh=>sys_clk4_NL_h,clk4hz米=>sys_clk4_NL_米,sys_en=>sys_en,sys_rst=>sys_rst,h_o=>NL_reg_h,米_o=>NL_reg_米);beep<=clk_ring and 米h;--led<=reg_s(3 downto 0);p_sys_clk:process(clk1)variable t1,t4,t64,t500,t1k:integer range 0 to 50000000;beginif clk1 'event and clk1='1' thent1:=t1+1;t4:=t4+1;t64:=t64+1;t500:=t500+1;t1k:=t1k+1;if t1=clki/2 thent1:=0;sys_clk1<=not sys_clk1;end if;if t4=clki/8 thent4:=0;sys_clk4<=not sys_clk4;end if;if t64=clki/128 thent64:=0;sys_clk64<=not sys_clk64;end if;if t500=clki/1000 thent500:=0;sys_clk500<=not sys_clk500;end if;if t1k=clki/2000 thent1k:=0;sys_clk1k<=not sys_clk1k;end if;end if;end process p_sys_clk;p_c:process(SAc,SBc,SCc,SDc)beginif SAc='1' and SDc='0' thenclk_h<=sys_clk4;elseclk_h<=c_米;end if;if SAc='1' and SDc='1' thensys_clk4_NL_h<=sys_clk4;elsesys_clk4_NL_h<='0';end if;if SBc='1' and SDc='0'thenclk_米<=sys_clk4;elseclk_米<=c_s;end if;if SBc='1' and SDc='1'thensys_clk4_NL_米<=sys_clk4;elsesys_clk4_NL_米<='0';end if;if SDc='0' thendout(7 downto 0)<=reg_s;dout(15 downto 8)<=reg_米;dout(23 downto 16)<=reg_h;elsedout(7 downto 0)<="ZZZZZZZZ";dout(15 downto 8)<=NL_reg_米;dout(23 downto 16)<=NL_reg_h;end if;end process p_c;P_ring:process(reg_米,reg_s,sys_clk1k)variable clk_ring_t:std_logic;variable t:std_logic_vector(3 downto 0);beginif reg_米=X"59" and (reg_s=X"50" or reg_s=X"52" or reg_s=X"54" or reg_s=X"56" or reg_s=X"58") thenclk_ring_t:=sys_clk500;elsif reg_米=X"00" and reg_s=X"00" thenclk_ring_t:=sys_clk1k;else clk_ring_t:='Z';end if;if NL_ring='1' thenclk_ring_t:=sys_clk1k;end if;if sys_clk1k 'event and sys_clk1k='1' thent:=t+1;end if;if t>1 then 米h<='1';end if;clk_ring<=clk_ring_t;end process p_ring;end rtl;。
多功能数字时钟课程设计
多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本构成,掌握时、分、秒的概念及其相互关系。
2. 学生能运用所学知识,分析多功能数字时钟的显示原理和编程逻辑。
3. 学生掌握基本的数字逻辑运算,并能将其应用于时钟设计中。
技能目标:1. 学生能通过实际操作,学会使用编程软件进行数字时钟的设计与编程。
2. 学生能够运用问题解决策略,调试并优化数字时钟程序,提高程序运行效率。
3. 学生能够运用所学知识,创作具有个性化功能的数字时钟,培养创新意识和实践能力。
情感态度价值观目标:1. 学生在学习过程中,培养对信息技术学科的兴趣,激发学习热情。
2. 学生通过团队协作,培养沟通、交流和合作的能力,增强团队意识。
3. 学生通过解决实际问题,体会科技改变生活的魅力,增强社会责任感和使命感。
课程性质:本课程为信息技术学科,结合学生年级特点,注重理论与实践相结合,培养学生的动手操作能力和创新思维。
学生特点:学生具备一定的信息技术基础,好奇心强,喜欢动手操作,但逻辑思维和问题解决能力有待提高。
教学要求:教师应关注学生的个体差异,提供有针对性的指导,引导学生通过自主学习、合作探究和实践活动,达到课程目标,提高学生的信息技术素养。
二、教学内容1. 数字时钟基础知识:时钟的演变、数字时钟的构成、时、分、秒的概念及其进制关系。
教材章节:第一章 认识数字时钟2. 数字时钟显示原理:LED显示技术、点阵显示原理、数字时钟显示编程。
教材章节:第二章 数字时钟显示技术3. 数字时钟编程基础:基本逻辑运算、程序流程控制、函数的运用。
教材章节:第三章 数字时钟编程基础4. 多功能数字时钟设计与实现:设计思路、编程实践、调试与优化。
教材章节:第四章 多功能数字时钟设计与实现5. 创新实践:个性化数字时钟设计、功能拓展、作品展示。
教材章节:第五章 创新实践与作品展示教学进度安排:1. 数字时钟基础知识(1课时)2. 数字时钟显示原理(2课时)3. 数字时钟编程基础(3课时)4. 多功能数字时钟设计与实现(4课时)5. 创新实践(2课时)教学内容科学系统,注重理论与实践相结合,引导学生通过自主学习、合作探究和实践操作,掌握数字时钟的设计与编程,培养学生的创新能力和信息技术素养。
eda多功能数字时钟课程设计
eda多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本原理,掌握EDA工具的使用方法,并运用相关电路知识设计多功能数字时钟。
2. 学生能够运用所学知识,分析并解释数字时钟电路中各个部分的功能及其相互关系。
3. 学生了解数字时钟在实际生活中的应用,理解其重要性。
技能目标:1. 学生能够运用EDA工具进行电路设计,具备实际操作能力。
2. 学生通过动手实践,培养解决实际问题的能力,提高创新意识和团队协作能力。
3. 学生能够运用所学知识,对数字时钟电路进行调试和优化。
情感态度价值观目标:1. 学生在学习过程中,培养对电子技术的兴趣,激发创新精神。
2. 学生通过团队合作,学会尊重他人,培养良好的沟通能力和团队精神。
3. 学生认识到科技发展对社会进步的重要性,树立正确的价值观。
课程性质:本课程为实践性较强的课程,结合理论教学,注重培养学生的动手能力和实际操作技能。
学生特点:学生具备一定的电子技术基础知识,对实践操作有较高的兴趣。
教学要求:教师需结合理论教学,指导学生进行实践操作,注重启发式教学,引导学生主动探究,提高学生的综合能力。
在教学过程中,关注学生的学习进度,及时调整教学策略,确保课程目标的实现。
通过课程学习,使学生能够将所学知识应用于实际生活中,提高学生的创新意识和实践能力。
二、教学内容本课程教学内容主要包括以下几部分:1. 数字时钟原理:讲解数字时钟的基本工作原理,包括时钟信号、计数器、显示驱动等组成部分。
2. EDA工具使用:介绍EDA工具的基本操作,如原理图绘制、电路仿真、PCB设计等。
3. 电路设计与实现:指导学生运用EDA工具设计多功能数字时钟电路,包括时钟信号电路、分频器、计数器、显示驱动和按键控制等模块。
4. 电路调试与优化:教授学生如何对设计的数字时钟电路进行调试,找出问题并进行优化。
教学内容与教材关联性如下:1. 《电子技术基础》中关于数字电路的基础知识,为理解数字时钟原理提供理论支持。
多功能数字钟课程设计报告
电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。
☆学习数字系统设计中自顶向下的设计方法。
☆加深利用EDA技术实现数字系统的理解。
三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。
☆基本要求1、能够正确的连线及下载。
2、能够完成以秒为最小及时单位的时钟设计。
3、设计完成后的时钟能够正常调整时、分、秒。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
实现这两种模数的计数器采用中规模集成计数器74LS90构成。
●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。
多功能数字钟(电工课程设计)
目录1 前言2 总体方案设计2.1 方案论述2.2 设计方式3 单元模块电路设计3.1时间显示电路模块设计3.2按键及指示灯电路模块的设计3.3蜂鸣器及有源晶振电路的设计3.4 CPLD编程下载电路的设计3.5电源电路电路的设计3.6 EPM7128SLC84器件介绍4 CPLD 编程设计4.1系统信号的定义及顶层模块4.2 时钟节拍产生模块4.3模式选择功能模块4.4快速时间设置功能模块4.5秒、分、时计时与时间调整模块4.6闹铃时间设置模块4.7闹铃与整点报时模块4.8 7段显示译码模块4.9 LED显示模块5 系统功能及功能仿真5.1系统功能5.2功能仿真5.2.1 时钟节拍产生模块的仿真波形5.2.2 模式选择功能模块的仿真波形5.2.3 闹铃设置功能模块的仿真波形5.2.4 7段译码功能模块的仿真波形5.2.5 LED显示功能模块的仿真波形5.2.6 系统总体功能仿真波形6 设计总结7 参考文献附录:基于CPLD的多功能数字钟电路图1 前言我们已经进入了数字化和信息化的时代,其特点是各种数字产品的广泛应用。
现代数字产品在性能提高、复杂度增大的同时,其更新换代的步伐也越来越快,实现这种进步的因素在于生产制造技术和电子设计技术的进步。
生产制造技术以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管。
PLD器件和EDA技术的出现,改变了这种传统的设计思路,使人们可以立足于PLD芯片来实现各种不同的功能,新的设计方法能够由设计者自己定义器件内部逻辑和管脚,将原来由电路板设计完成的工作大部分放在芯片的设计中进行。
这样不仅可以通过芯片设计实现各种逻辑功能,而且由于管脚定义的灵活性,减轻了原理图和印制板设计的工作量和难度,增加了设计的自由度,提高了效率。
同时这种设计减少了所需芯片的种类和数量,缩小了体积,降低了功耗,提高了系统的可靠性。
本设计是基于CPLD的多功能数字钟设计。
多功能数字钟课程设计报告整理版
《数电》课程设计多功能数字钟作者班级学号老师日期多功能数字钟课程设计一、设计目的1.掌握数字电路系统的设计方法、装调技术及数字钟的及功能扩展电路的设计。
2.熟练、合理的选用集成电路器件。
3.熟悉Multisim10.0软件的使用。
4.熟悉数字钟原理组成中的组合逻辑电路和时序电路。
二、设计要求1.以一昼夜24小时为一个计数周期准时报时,以数字形式显示时、分、秒的时间。
2.校正时间。
3.具有整点报时功能,要求整点前鸣叫五次低音(500HZ左右),整点时再鸣叫一次高音(1000HZ左右),共鸣叫6响,两次鸣叫的间隔为1秒。
4.电路组要采用TTL集成电路,尽可能简化电路,选用同类型的器件,在Multisim10.0电子工作平台上进行电路的设计和仿真。
三、设计原理1.系统工作原理:振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。
秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。
计数器的输出经译码器送数码管显示器。
计时出现误差时可以用校时电路进行校时、较分、较秒。
数字钟电路系统的组成框图:四、数字钟单元电路设计1.振荡器的设计振荡器是数字钟核心,振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。
一般来说,振荡器的频率越高,计时精度越高。
此次实验我用的是由集成电路定时器NE555和RC组成的多谐振荡器。
图2 振荡器电路2.分频器的设计分频器的功能主要有两个:一是产生标准秒脉冲信号;而是提供功能拓展电路所需要的信号。
此次实验用的是二—五—十进制异步计数器74LS90,每片为10分频,3片级联则获得所需要的频率信号:第1片的Q0端输出频率为500HZ,第2片的Q3输出频率为10HZ,第3片的Q3端输出频率为1HZ.。
数字钟多功能课程设计
数字钟多功能课程设计一、课程目标知识目标:1. 学生能理解数字钟的基本原理和组成,掌握电子元器件的功能和连接方式。
2. 学生能够运用所学知识设计具有多种功能的数字钟,如闹钟、计时器等。
3. 学生了解数字钟在日常生活和科技领域的应用,拓展知识视野。
技能目标:1. 学生能够运用编程软件进行数字钟程序的编写和调试,提高编程能力。
2. 学生通过动手实践,培养电路搭建和排错能力,提高实践操作技能。
3. 学生能够运用团队协作和沟通技巧,共同完成数字钟的设计和制作。
情感态度价值观目标:1. 学生对电子技术和编程产生兴趣,激发探索精神和创新意识。
2. 学生在课程学习中,培养耐心、细心和责任心,养成良好的学习习惯。
3. 学生通过团队协作,学会分享和互助,培养合作精神和集体荣誉感。
课程性质:本课程为实践性较强的课程,结合理论知识与动手操作,注重培养学生的实际操作能力和团队协作能力。
学生特点:六年级学生具备一定的电子知识和编程基础,好奇心强,喜欢动手实践,但注意力集中时间较短,需要激发兴趣和引导。
教学要求:教师应注重理论与实践相结合,以学生为主体,引导他们自主探究和解决问题。
同时,关注学生的个体差异,给予个性化指导,确保课程目标的达成。
通过课程学习,使学生将所学知识内化为具体的学习成果,提高综合素质。
二、教学内容1. 数字钟原理:介绍数字钟的基本工作原理,包括晶振、计数器、显示器件等组成部分。
- 教材章节:第二章《数字电路基础》- 内容列举:晶振振荡原理、计数器工作原理、显示器件原理。
2. 电子元器件:讲解常用电子元器件的类型、功能及使用方法。
- 教材章节:第三章《常用电子元器件》- 内容列举:电阻、电容、二极管、三极管、集成电路等。
3. 程序设计:学习数字钟程序设计方法,包括编程语言、编程软件的使用。
- 教材章节:第五章《编程语言与程序设计》- 内容列举:C语言基础、编程软件操作、程序调试方法。
4. 电路搭建与排错:实践数字钟电路的搭建和调试,培养动手能力。
数电课程设计多功能数字钟
数电课程设计多功能数字钟一、课程目标知识目标:1. 让学生理解数字电路基础知识,掌握组合逻辑电路和时序逻辑电路的设计原理;2. 使学生掌握数字钟的组成、工作原理及功能,能运用所学知识设计多功能数字钟;3. 帮助学生掌握数字电路的测试方法,学会分析并解决数字电路故障。
技能目标:1. 培养学生运用所学知识,结合实际需求,设计具有一定功能的数字电路的能力;2. 培养学生动手操作、调试和优化数字电路的技能;3. 培养学生运用EDA工具(如Multisim、Protel等)进行电路设计、仿真和测试的能力。
情感态度价值观目标:1. 培养学生对数字电路和电子技术的兴趣,激发学生探索科学技术的热情;2. 培养学生严谨、务实的学习态度,养成团队合作、互相学习的良好习惯;3. 培养学生关注社会发展,认识到电子技术在日常生活和国家建设中的重要作用。
课程性质分析:本课程为电子技术专业课程,旨在让学生掌握数字电路的基本原理和设计方法,通过设计多功能数字钟,提高学生的实践能力和创新能力。
学生特点分析:学生已具备一定的电子技术基础,具有较强的学习兴趣和动手能力,但部分学生对数字电路的原理和应用尚不熟悉。
教学要求:1. 结合课本内容,注重理论与实践相结合,提高学生的实际操作能力;2. 突出重点,分步骤讲解,确保学生掌握数字电路设计的基本方法;3. 注重培养学生的创新思维和团队合作精神,提高学生的综合素质。
二、教学内容1. 数字电路基础知识回顾:组合逻辑电路、时序逻辑电路的原理与设计方法,数字电路常用器件的特性和应用。
2. 数字钟原理及功能:讲解数字钟的组成、工作原理,介绍秒、分、时显示功能及闹钟、定时器等拓展功能。
3. 多功能数字钟设计:引导学生运用所学知识,结合实际需求,设计具有基本时间显示和至少一项拓展功能的数字钟。
a. 电路图设计:使用EDA工具绘制电路图;b. 电路仿真:运用EDA工具对设计电路进行功能仿真;c. 硬件制作:根据电路图焊接元器件,制作数字钟;d. 调试优化:对制作完成的数字钟进行调试,确保其正常运行。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日索引摘要 (3)Abstract (3)1系统原理框图 (5)2方案设计与论证 (6)2.1时间脉冲产生电路 (6)2.2分频器电路 (9)2.3时间计数器电路 (10)2.4译码驱动及显示单元电路 (11)2.5校时电路 (12)2.6报时电路 (13)3单元电路的设计 (14)3.1时间脉冲产生电路的设计 (14)3.2计数电路的设计 (15)3.2.1 60进制计数器的设计 (15)3.2.2 24进制计数器的设计 (15)3.3译码及驱动显示电路 (16)3.4 校时电路的设计 (17)3.5 报时电路 (18)3.6电路总图 (20)4仿真结果及分析 (21)4.1时钟结果仿真 (21)4.2 秒钟个位时序图 (21)4.3报时电路时序图 (22)4.4测试结果分析 (22)5心得与体会 (23)6参考文献 (24)附录1原件清单 (25)附录2部分芯片引脚图与功能表 (26)摘要多功能数字钟具有时间显示、闹钟设置、环境温度测量、电网电压、电网频率显示,闹铃控制和电网电压的过压、欠压报警等功能,深受人们欢迎。
数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常现实的意义。
AbstractA digital clock is a kind of digital circuit technology, minutes and seconds when the timing device, and the mechanical clock is higher than the accuracy and intuitive, and no machinery, has more longer service life, so it has been widely used.From the principle of digital clock is a kind of typical digital circuits, including the assembly logic circuit and the sequential circuits. At present, a digital clock function is more and more strong, and a variety of special options. Applicable for automatic digital clock rung, automatic broadcasting, also suitable for electricity, water and automatic control and electrical equipment. It is by several children clock circuit, timing circuit, amplifier circuit, the power circuit implementation. In order to simplify the circuit structure, a digital clock circuit and timing circuits using direct connection between decoding technology. With simple structure, reliable operation, long service life, change the setting time for easy and manufacturing cost etc.To learn from the point of view, there are mainly introduced in small scale integrated circuit design method of digital clock。
1系统原理框图数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路。
同时必需以标准的1HZ时间信号作为时钟驱动。
通常使用石英晶体振荡器电路构成数字钟。
图1所示为数字钟的一般构成框图。
图 1系统原理框图⑴晶体振荡器电路:晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
⑵分频器电路:分频器电路将32768HZ的高频方波信号经32768(152)次分频后得到1Hz的方波信号供秒计数器进行计数。
分频器实际上也就是计数器。
⑶时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。
⑷译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
⑸整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。
2方案设计与论证2.1时间脉冲产生电路方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。
图 2 555与RC组成的多谐振荡器图方案二:振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。
石英晶体振荡器的作用是产生时间标准信号。
因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。
图 3 石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器。
图 4 门电路组成的多谐振荡器图用555组成的脉冲产生电路: R1=15*103Ω,R2=68*103Ω,C=10μF,则555所产生的脉冲的为:f=1.43/[(R1+2*R2)*103*10*106=0.947Hz,而设计要求为1Hz,因此其误差为5.3%,在精度要求不是很高的时候可以使用。
石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。
由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。
综上分析,选择方案二,石英晶体振荡电路能够作为最稳定的信号源。
2.2分频器电路通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz 的秒信号输入,需要对振荡器的输出信号进行分频。
通常实现分频器的电路是计数器电路,一般采用多级二进制计数器来实现。
例如,将32768Hz 的振荡信号分频为1HZ 的分频倍数为32768(152),即实现该分频功能的计数器相当于15级二进制计数器。
从尽量减少元器件数量的角度来考虑,这里可选多极二进制计数电路CD4060和CD4040来构成分频电路。
CD4060和CD4040在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。
CD4060计数为14级二进制计数器,可以将32768Hz 的信号分频为2Hz ,其内部框图如图2.1所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能。
图 5.1 CD4060内部框图 图5.2 CD4040内部框图CD4040计数器的计数模数为4096(122),其逻辑框图如图5.2。
如将32768Hz 信号分频为1Hz ,则需外加一个8分频计数器,故一般较少使用CD4040来实现分频。
综上所述,可选择CD4060同时构成振荡电路和分频电路。
照图5.1,在0CP 和0CP 之间接入振荡器外接元件可实现振荡,并利用时计数电路中多一个2分频器(后述)可实现15级2分频,即可得1Hz 信号。
2.3时间计数器电路一般采用10进制计数器来实现时间计数单元的计数功能。
为减少器件使用数量,可选74HC390,其内部逻辑框图如图6所示。
该器件为双2-5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效)。
图 6 74HC390(1/2)内部逻辑框图秒个位计数单元为10进制计数器,无需进制转换,只需将Q A 与CP B (下降沿有效)相连即可。
CP A (下降没效)与1Hz 秒输入信号相连,Q 3可作为向上的进位信号与十位计数单元的CP A相连。
秒十位计数单元为6进制计数器,需要进制转换。
将10进制计数器转换为6进制计数器的电路连接方法如图7所示,其中Q 2可作为向上的进位信号与分个位的计数单元CP A相连。
图 7 10进制-6进制计数器转换电路分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。
时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为24进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行24进制转换。