江苏大学 硬件基础课程设计 A5-1流密码器

合集下载

江苏大学单片机课程设计多功能电子时钟

江苏大学单片机课程设计多功能电子时钟

J I A N G S U U N I V E R S I T Y单片机课程设计多功能电子时钟学院名称:专业班级:学生姓名:学生学号:错误!未找到引用源。

一、设计目的(1)能在LED显示器上实现正常的时分秒计时(2)能通过键盘输入当前时间,并从该时间开始计时(3)有校时、校分功能(4)有闹时功能,闹时时间可以设定,通过指示灯表示二、设计内容该课程设计是利用MCS-51单片机内部的定时/计数器、中断系统、以及行列键盘和LED显示器等部件,设计一个单片机电子时钟。

设计的电子时钟通过数码管显示,并能通过按键实现设置时间和暂停、启动控制等。

三、MCS-51单片机系统简介单片机应用系统由硬件系统和软件系统两部分组成。

硬件系统是指单片机以及扩展的存储器、I\O接口、外围扩展的功能芯片以及接口电路。

软件系统包括监控程序和各种应用程序。

在单片机应用系统中,单片机是整个系统的核心,对整个系统的信息输入、处理、信息输出进行控制。

与单片机配套的有相应的复位电路、时钟电路以及扩展的存储器和I\O接口,使单片机应用系统能够运行。

在一个单片机应用系统中,往往都会输入信息和显示信息,这就涉及键盘和显示器。

在单片机应用系统中,一般都根据系统的要求配置相应的键盘和显示器。

配置键盘和显示器一般都没有统一的规定,有的系统功能复杂,需输入的信息和显示的信息量大,配置的键盘和显示器功能相对强大,而有些系统输入/输出的信息少,这时可能用几个按键和几个LED指示灯就可以进行处理了。

在单片机应用系统在中配置的键盘可以是独立键盘,也可能是矩阵键盘。

显示器可以是LED指示灯,也可以是LED数码管,也可以是LCD显示器,还可以使用CRT显示器。

单片机应用系统中键盘一般用的比较多的是矩阵键盘,显示器用的比较多的是LED数码管还LCD显示器。

四、设计方案通过键盘输入,设置当前时间,并显示在LCD显示器上,键盘和LED显示通过键盘专用芯片HD7279A进行控制,7279A也是I2C总线接口的芯片,系统中采用并行口线模拟I2C 实现C8051F020和HD7279A的通信。

微机原理课程设计++多功能密码锁

微机原理课程设计++多功能密码锁

微机原理课程设计++多功能密码锁第一篇:微机原理课程设计++多功能密码锁微机原理课程设计报告学院:专业:班级:姓名:指导老师:设计任务:多功能密码锁目录一、设计目标二、设计要求三、整体功能描述四、硬件设计功能模块 1.键盘 2.中断控制 3.计时 4.按键响应 5.报警 6.储存器及片选 7.整机电路五、程序流程图六、程序清单七、设计总结一、设计目标1.密码锁在输入密码正确的条件下输出开锁电平,控制电控锁开启,同显示O字样。

2.当输入密码错误时,发出错误警告声音,同时显示C字样。

3.当六次误码输入的条件下,产生报警电平报警。

4.还可以实现对密码的修改,修改成功后,蜂鸣器发出确认音。

二、设计要求1、选用8088和适当的存储器及接口芯片完成相应的功能。

2、用LED显示器显示电子锁的当前状态。

3、画出详细的硬件连接图。

4、给出程序设计思路、画出软件流程图。

5、给出地址分配表。

6、给出所有程序清单并加上必要注释。

7、完成设计说明书(列出参考文献,所用器件型号)。

三、整体功能描述本设计为多功能密码锁,使用存储器保存密码防止断电后密码丢失。

工作时按下按键蜂鸣器会发出声音提示按键有效并在数码管有“--”闪烁字样,若相隔1分钟无按键按下,则清零。

在输入密码正确的条件下输出开锁电平,控制电控锁开启,同时显示O字样。

开锁后可按下“复位/修改密码键”实现修改密码功能的转入,之后先输入密码再输入同样的密码确认才可成功修改,修改成功后蜂鸣器会发出三声,数码管“O”闪烁三次提示修改密码成功。

当输入密码错误时,发出错误警告声音,同时显示FF字样,当输入密码错误次数累积够6次后,蜂鸣器会产生90秒的报警声响进行报警。

四、硬件设计功能模块本设计使用了8088、8259A、8255、8253、A28F512、74LS138、74LS148、地址锁存器、数码管以及74系列逻辑门、蜂鸣器、开关等芯片与元器件,实现了键盘输入、中断控制、计时、按键响应、开锁及报警等功能模块。

a5-1序列密码算法c语言

a5-1序列密码算法c语言

A5/1 是一种流密码算法,通常用于GSM(全球系统移动通信)网络中对无线通信进行加密。

这种算法使用了三个线性反馈移位寄存器(LFSR)来生成伪随机序列,然后使用该序列对数据进行加密。

以下是A5/1 序列密码算法的简单 C 语言实现:#include <stdio.h>// 定义三个线性反馈移位寄存器的初始状态unsigned int R1 = 0x4F1BB;unsigned int R2 = 0x3B6DB;unsigned int R3 = 0x3AD61;// 定义三个线性反馈移位寄存器的反馈位unsigned int feedback1 = 0x6;unsigned int feedback2 = 0x4;unsigned int feedback3 = 0x2;// 定义A5/1 算法的伪随机序列生成函数unsigned int getA5Bit() {unsigned int output = (R1 & 0x1) ^ (R2 & 0x1) ^ (R3 & 0x1);if (R1 & 0x1) {R1 = (R1 >> 1) ^ feedback1;} else {R1 = R1 >> 1;}if (R2 & 0x1) {R2 = (R2 >> 1) ^ feedback2;} else {R2 = R2 >> 1;}if (R3 & 0x1) {R3 = (R3 >> 1) ^ feedback3;} else {R3 = R3 >> 1;}return output;}int main() {int i;for (i = 0; i < 10; i++) {printf("%u", getA5Bit());}printf("\n");return 0;}这是一个简单的A5/1 序列密码算法的 C 语言实现,用于生成伪随机序列。

电子电路课程设计密码锁(满分实验报告)解析

电子电路课程设计密码锁(满分实验报告)解析

密码锁设计报告摘要:本系统是由键盘和报警系统所组成的密码锁。

系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。

关键字:数字密码锁 GAL16V8 28C64 解锁与报警1目录:一、系统结构与技术指标1、系统功能要求 (4)2、性能和电气指标 (5)3、设计条件 (5)二、整体方案设计1、密码设定 (6)2、密码判断 (6)3、密码录入和判断结果显示 (6)4、系统工作原理框面 (7)三、单元电路设计1、键盘录入和编码电路图 (8)2、地址计数和存储电路 (12)3、密码锁存与比较电路 (12)24、判决与结果显示电路 (14)5、延时电路 (15)6、复位 (17)7、整机电路图 (19)8、元件清单 (19)四、程序清单1、第一片GAL (21)2、第二片GAL (23)五、测试与调整1、单元电路测试 (25)2、整体指标测试 (26)3、测试结果 (26)六、设计总结1、设计任务完成情况 (27)2、问题及改进 (27)3、心得体会 (28)3一、系统结构与技术指标1.系统功能要求密码锁:用数字键方式输入开锁密码,输入密码时开锁;如果输入密码有误或者输入时间过长,则发出警报。

密码锁的系统结构框图如下图所示,其中数字键盘用于输入密码,密码锁用于判断密码的正误,也可用于修改密码。

开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或者输入时间超时。

开锁green 键盘密码锁错误red42.性能和电气指标2.1 开锁密码为8位十进制数字,由按键输入,按“确认”键后,输入的数字有效。

2.2 输入的8位数字与预设的密码相同时开锁,用绿灯亮,红灯灭表示。

数据有误时或输入的密码时间过长即报警,红灯亮。

2.3 输入的数字间隔时间小于或等于15s。

超过时限则报警,同时电子锁复位。

2.4 具有手动、自动复位功能。

3. 设计条件3.1 电源条件:稳压电源提供+5V电压。

江苏大学模拟电子技术课程设计

江苏大学模拟电子技术课程设计

模拟电路课程设计所属学院:计算机科学与通信工程学院指导老师:唐平同组成员:完成时间:2011年1月12日目录一、引言 (3)二、设计目的 (3)三、设计任务、技术指标和要求 (3)四、设计方案选择与论证 (4)五、总体电路的原理和功能框图(方案比较和说明) (7)六、功能块及单元电路的设计与主要参数计算,元器件选择和电路参数计算的说明等 (9)七、全部元器件、型号清单 (10)八、仿真过程波形和结果 (11)九、课程设计体会和收获 (12)十、参考文献 (13)课程题目:OCL功率放大器一、引言OCL功率放大器是一种直接耦合的功率放大器,它具有频响宽、保真度高、动态特性好及易于集成化等特点。

性能优良的集成功率放大器给电子电路功放级的调试带来了极大的方便。

集成功率放大电路具有输出功率大、外围元件少、使用方便等优点,因此在收音机、电视机、扩音器、伺服放大电路中得到了广泛的应用。

二、设计目的1.学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。

2.学会OCL音频功率放大器的设计方法和性能指标测试方法。

3.培养实践技能,提高分析和解决实际问题的能力。

三、设计任务、技术指标和要求设计任务:利用运放、三极管等搭建基本的OCL功率放大电路,为解决正向传输小于负向传输的问题,采取两种方式:加入自举电路、加入有源负载搭建电路。

1.采用全部或部分分立元件设计一种OCL音频功率放大器。

2.设计放大器所需的直流稳压电源。

3.使用EDA仿真软件进行设计。

设计参数指标:1、采用全部或部分分立元件(末级必须用分立元件)设计一OCL音频功率放大器;2、额定输出功率Po≥10W;3、负载阻抗R L=8Ω;4、失真度γ≤3%;5、5.3dB带宽20∽30KHz;6、输入灵敏度不低于150mV。

设计要求:1.分析设计要求,明确性能指标。

必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

江苏大学机电系统综合课程设计第五站

江苏大学机电系统综合课程设计第五站

机电系统综合课程设计——MPS模块化生产教学系统的控制系统设计第五站:安装搬运站学院:江苏大学班级:学号:姓名:目录第一章 MPS系统安装搬运站PLC控制设计1.1 电气线路图和气动回路图;1.2 PLC的I/O分配表,I/O接线图;1.3 顺序功能图及程序清单;1.4 触摸屏控制画面的说明,控制、信息软元件地址表;1.5 组态王控制方式与画面说明。

第二章 MPS系统的安装搬运站和加工站联网PLC控制设计2.1 PLC和PLC之间联网通信的功能框图及程序清单2.2 通讯软元件地址表。

第三章调试过程中遇到的问题及解决方法第四章设计的收获和体会附录附录一安装搬运站单站PLC程序附录二安装搬运站与加工站联网通讯程序第一章MPS系统安装搬运站PLC控制设计1.1电气线路图和气动回路图;如图1.1.1所示,该设备由平移工作台、吊臂、机械手、开关电源、可编程序控制器、按钮、I/O口板、通讯接口板、多种类型电磁阀及气缸组成,主要完成工件的安装与搬运。

图1.1.1 安装搬运站结构1.平移工作台:由左缸、右缸和工作台面(直线轴承)等组成。

该机械结构将左、右缸的直线运动转化成机械手臂圆周运动。

利用两气缸的终末端位组合完成四位的定位(完成前站位、中间站位、后站位的操作)。

2.吊臂抓取结构:由吊臂结构升降缸、夹爪缸组成。

手臂上下夹取完成几站间取和放工件操作。

3.I/O接口板:将桌面上的输入与输出信号通过电缆C1与PLC的I/O相连。

4.控制面板:完成设备启动上电等操作(具体在按钮上有标签说明)。

5.废料存放位:用来存放加工站中的不合格工件。

6.光纤传感器:在夹爪与安装工位装有光纤传感用来检测工件夹取成功与否并发出报警。

电气特性指标:1.输入电压:交流220V/0.8A,50Hz。

2.输出电压:直流24V/4.5A;(设备执行驱动电压)3.工作环境:0到55℃工作,-20℃到70℃保存。

相对湿度:35-85﹪,禁止腐蚀性,可燃性气体接触,防止导电尘埃。

(完整版)基于51单片机的简易电子密码锁课程设计毕业设计论文

(完整版)基于51单片机的简易电子密码锁课程设计毕业设计论文

前言随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。

电子密码锁与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。

目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。

下面就是现在主流电子密码锁:目前常见的遥控式电子防盗锁主要有光遥控和无线电遥控两类。

键盘式电子密码锁从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。

卡式电子防盗锁使用各种“卡”作为钥匙的电子防盗锁是当前最为活跃的产品,无论卡的种类如何多种多样,按照输入卡的操作方式,都可分为接触式卡和非接触式卡两大类。

生物特征防盗锁人的某些与生俱来的个性特征(如手、眼睛、声音的特征)几乎不可重复,作为“钥匙”就是唯一的(除非被逼迫或伤害)。

因此,利用生物特征做密码的电子防盗锁,也特别适合金融业注重“验明正身”的行业特点。

人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。

锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。

随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。

据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。

这种锁是通过键盘输入一组密码完成开锁过程。

研究这种锁的初衷,就是为提高锁的安全性。

由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。

电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。

电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC 卡锁,生物锁等。

但较实用的还是按键式电子密码锁。

20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。

(完整版)电子密码锁的设计

(完整版)电子密码锁的设计

指导教师签字:年月日目录摘要 (2)Abstract (2)第一章、绪论 (3)1.1 课题研究的相关背景 (3)1.2 课题研究的基本理论依据 (3)1.3 设计课题的任务 (3)1.4 课题研究的目的、意义 (4)第二章设计方案简述 (5)2.1 方案的论证 (5)2.2 方案的原理 (5)2.3 方案的总体框图 (5)第三章详细的设计方案 (6)3.1 密码的设定和密码的输入部分 (6)3.2 执行电路 (7)3.3 NE555秒脉冲电路 (7)3.4 报警电路 (8)3.5 倒计时电路 (8)3.6 稳压电源电路 (9)第四章设计结果及分析 (10)4.1 电路的调试 (10)4.2 功能的扩展 (10)第五章设计的总结 (12)参考文献 (13)摘要随着人门生活水平的提高,如何提高实现家庭的防盗这一问题业变得尤其突出,传统的机械锁由于其构造的简单,被撬开的事件屡见不鲜,使我们的财产以及人身安全有了很大的隐患,这致使我们寻求更好的安全措施。

电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的喜爱。

设计本课题时构思的方案是采用74LS86四两输入的异或门和74LS04非门构成的数字逻辑电路控制方案。

初始密码(可以修改)设定后,当输入密码正确时按下开锁按钮,绿灯亮表示开门;当输入密码错误按下开锁按钮时,红灯亮表示报警状态,同时555电路产生脉冲进入10秒的倒计时并发出报警声。

关键词:电子密码锁;74LS86四两输入异或门;74LS04非门;555电路AbstractWith the people's living standards increase, how to improve the implementation of family protection against the problem has become particularly outstanding, and the traditional machinery lock because of its construction of simple and forcing open the event of the case, our property and security of person was a great potential, this to us for the safety measures. the lock because of its confidentiality high, with agility, safety, was the favorite.This subject in the design concept plan was adopted 74ls86 4 liang of the input power, or the door and 74ls04 the door of a digital logic to control circuit.Initial passwords ( can modify ) then, when the right to enter the password when the open lock button, the green light before the door when their ; enter the password mistake in the open lock button when the lights indicate the state, the circuit produce pulses 555 to 10 seconds count down and alarm.Keywords:electronic combination locks;74ls86 ;74ls04 ;555 chip第一章、绪论1.1 课题研究的相关背景在现代城市中,随着人们生活水平的提高,如何提高实现家庭的防盗这一问题业变得尤其突出,传统的机械锁由于其构造的简单,被撬开的事件屡见不鲜,使我们的财产以及人身安全有了很大的隐患,这致使我们寻求更好的安全措施。

51电子密码锁课程设计

51电子密码锁课程设计

51电子密码锁课程设计一、课程目标知识目标:1. 理解电子密码锁的基本原理,掌握相关电子元件的功能与连接方式;2. 学会编写简单的程序控制51单片机实现密码锁功能;3. 了解信息安全的基本概念,认识到密码学在电子密码锁中的应用。

技能目标:1. 能够独立设计并搭建一个51电子密码锁电路;2. 掌握51单片机的编程技巧,通过实践操作完成密码锁程序的编写;3. 学会运用所学知识解决实际问题,具备一定的创新能力和动手能力。

情感态度价值观目标:1. 培养学生对于电子技术、编程技术和信息安全的兴趣,激发学习热情;2. 培养学生的团队协作意识,提高沟通与交流能力;3. 增强学生的信息安全意识,培养良好的信息安全素养。

本课程针对中学生设计,结合学生特点,注重实践操作和创新能力培养。

课程内容与教材紧密关联,旨在帮助学生掌握电子密码锁相关知识,提高实际操作能力,同时培养情感态度价值观,为学生的全面发展奠定基础。

后续教学设计和评估将围绕课程目标进行,确保教学效果。

二、教学内容1. 电子密码锁基本原理:介绍电子密码锁的工作原理,分析锁体结构、密码输入与处理过程;- 教材章节:第二章 电子技术与传感器2. 51单片机及其外围电路:学习51单片机的结构、功能及应用,掌握相关外围电路的连接方法;- 教材章节:第三章 单片机原理与应用3. 编程控制51单片机:学习51单片机的编程语言和编程技巧,编写实现密码锁功能的程序;- 教材章节:第四章 单片机编程与控制4. 电子密码锁电路设计与搭建:根据原理图,设计并搭建51电子密码锁电路;- 教材章节:第五章 电子电路设计与实践5. 信息安全与密码学:介绍信息安全的基本概念,学习密码学在电子密码锁中的应用;- 教材章节:第六章 信息安全与密码学6. 实践操作与创新能力培养:通过实践操作,巩固所学知识,培养学生的创新能力和动手能力;- 教材章节:实践活动教学内容安排和进度:第1周:电子密码锁基本原理学习;第2周:51单片机及其外围电路学习;第3周:编程控制51单片机;第4周:电子密码锁电路设计与搭建;第5周:信息安全与密码学;第6周:实践操作与创新能力培养。

a5-1序列算法c语言

a5-1序列算法c语言

a5-1序列算法c语言A5/1序列算法是一种流密码算法,用于无线通信中的加密。

它由三个独立的线性反馈移位寄存器(LFSR)组成,并通过一系列逻辑运算生成密钥流,用于加密和解密。

本文将详细介绍A5/1序列算法的原理和实现方式。

A5/1序列算法的原理很简单,它的核心是三个LFSR:X,Y和Z。

每个LFSR都有自己的特定的多项式,用于决定位移寄存器的输入和输出。

这些多项式以二进制的形式表示,每个位置都对应一个寄存器的输入或输出。

算法的运行方式如下:1.初始化三个LFSR和密钥。

2.填充每个LFSR的寄存器位,使其达到初始的状态。

3.执行时钟周期,依次将每个LFSR的位向左移动,并根据各自的多项式计算新的位。

4.从每个LFSR中选择一个比特位,并将它们组合成一个密钥流,用于加密和解密。

下面我们将逐步解释每个步骤的细节。

初始化是算法的第一步。

在A5/1序列算法中,初始化包括三个方面:设置密钥、设置初始状态和填充寄存器位。

密钥是一个64位长的二进制数,用于指定算法所使用的密钥流。

初始状态用于设置各个LFSR的寄存器位,通常通过将一些初始值写入寄存器位来完成。

最后,填充寄存器位是将LFSR的寄存器位填满,使其达到初始的状态。

填充过程通常使用一次性密钥生成器(ONE-TIME PAD)来生成随机的二进制数,并将它们写入LFSR的寄存器位。

时钟周期是算法的核心,它控制着序列的生成。

每个时钟周期,LFSR的寄存器位都会向左移动一位,并根据各自的多项式计算新的位。

多项式通常是一个XOR逻辑运算,用于计算新的位。

在一个周期内,每个LFSR都会产生一个新的位,并基于它们的状态更新密钥流。

选择比特位是为了生成最终的密钥流。

在每个时钟周期结束时,从每个LFSR中选择一个比特位,然后将它们组合成一个序列。

这些比特位通常是基于某种条件选择的,例如,选择第一个LFSR的第8位、第二个LFSR的第10位和第三个LFSR的第10位。

选定的比特位组合成的序列就是生成的密钥流。

电子密码锁的课程设计报告书

电子密码锁的课程设计报告书

电子技术基础课程设计说明书题目:电子密码锁的设计摘要本文要求用电子器件设计制作一个电子密码锁的控制电路.使之输入正确的代码时.锁自动打开;当输入密码不正确时.电路自动报警并复位.并且利用了一些附加电路保证了电路的正常工作.使电路拥有了极高的安全系数。

本文首先对电子密码锁的基础知识进行了详细说明.然后详细分析了电子密码锁的工作原理.各组成部分的作用。

关键词:电子密码锁 D触发器 Multisim 10AbstractThis requirement is designed to use electronic devices of an electronic lock control circuit to make it enter the correct code, the lock opens automatically; when the input password is incorrect when the automatic alarm, and reset the circuit, and the use of some additional circuitry to ensure the circuit normal work, so that the circuit has a very high safety factor. In this paper, the electronic lock of the basic knowledge of a detailed explanation, and then a detailed analysis of the electronic code lock works, the role of the various components.Keywords: Electronic Code Lock, D flip-flop Multisim 10目录一设计任务.................................................................... - 1 -1.1设计目的和意义........................................................... - 1 -1.1.1目的............................................................... - 1 -1.1.2意义............................................................... - 1 -1.2初始参数和要求........................................................... - 2 -1.2.1 初始参数.......................................................... - 2 -1.2.2 要求.............................................................. - 2 - 二系统设计..................................................................... - 3 -2.1系统工作原理............................................................. - 3 -2.1.1 . 电路结构框图.................................................... - 3 - 2.1.2 . 电路工作原理.................................................................................... ..............................- 3 -2.1.3 . 电路原理图...................................................... - 3 -2.2 器件选择................................................................ - 4 -2.3电路设计................................................................. - 7 - 2.3.1. 密码电路的设计.................................................................................... ...........................- 7 -2.3.2. 置零电路及报警电路设计.................................................................................... ...........- 8 -2.3.3. 延时电路设计..................................................... - 8 -2.4 电路仿真测试............................................................ - 8 - 三总结......................................................................... - 9 -3.1结论..................................................................... - 9 -3.2优点与不足............................................................... - 9 -3.3 心得与体会.............................................................. - 9 - 参考文献....................................................................... - 10 - 感谢........................................................................... - 10 -一设计任务1.1设计目的和意义1.1.1目的设计一种用开关控制D触发器的翻转.达到密码开锁的电子密码锁的数字逻辑电路。

江苏大学机电课程设计第三组

江苏大学机电课程设计第三组

J I A N G S U U N I V E R S I T Y机电系统综合课程设计——模块化生产教学系统的PLC控制系统设计学院名称:机械工程学院专业班级:模具0701班学生姓名:姚伟学生学号:3070305013指导教师姓名:毛卫平2009年7 月8 日目录第一章 MPS系统的第三站控制设计任务书 (2)1.1 MPS系统介绍及其第三站工艺流程描述 (4)1.2气动回路图——见附录 (5)1.3 桌面I/O接口板接线图———见附录 (7)1.4 顺序流程图(附录)与控制面板 (9)1.5 触摸屏的控制 (11)1.6 组态王的控制 (13)第二章 MPS系统CC-Link的PLC控制设计 (16)第三章设计中遇到的难题及解决的办法 (17)第四章设计心得与体会 (18)第五章参考资料 (19)附录:程序清单第一章 MPS系统的第三站控制设计任务书一:研究的课题模块化生产教学系统的PLC控制二:课程设计的目的通过对模块化生产教学系统(MPS)中单站和两站联网的PLC控制设计,全面了解和掌握PLC控制系统的设计方法,包括外部电路,气动回路设计,程序设计,PLC和PLC之间的通讯,系统调试,同时熟悉触摸屏人机界面和组态王软件在PLC控制系统中的应用。

三:使用的设备㈠模块化生产教学系统(MPS)㈡配备组态王、GX Developer、FXGXWIN软件的电脑㈢PWS 6600C触摸屏㈣PC与PLC、PC与触摸屏的通信电缆四:课程设计要求⒈MPS系统设计介绍及工艺流程图①自动化生产教学系统是为提高学生动手能力和实践技能而设计、生产的一套实用性实验设备。

该装置由六套各自独立而又紧密相连的工作站组成。

这六站分别为:上料检测站、搬运站、加工站、安装站、安装搬运站和分类站。

该实验装置的一大显著特点是:具有较好的柔性,即每站各有一套PLC控制系统独立控制。

将六个模块分开培训可以容纳较多的学员同时学习。

在基本单元模块培训完成以后,又可以将相邻的两站、三站……直至六站连在一起,学习复杂系统的控制、编程、装配和调试技术。

第十一章 PLC 江苏大学电工电子电工课件

第十一章 PLC 江苏大学电工电子电工课件

标识参数
操作数长度
区域标识符
标识符指明操作数所在存储器的区域 和操作数的位数。 标识参数指明操作数所在存储区的具 体位置。 操作数长度符号含义: X:位; B:字节; W:字; D:双字; 操作范围:
位操作数:1位; 字节操作数:8位; 字操作数:16位; 双字操作数:32位;
江苏大学电工电子教研室
可编程序控制器
(1)输入接口 电路形式
直流输入
交流输入
江苏大学电工电子教研室
可编程序控制器
(2)输出接口 电路形式
直流输出
输出三种形式: 继电器 -- 低速大功率
可控硅 -- 高速大功率
晶体管 -- 高速小功率
交流输出
江苏大学电工电子教研室
可编程序控制器
(3)扩展I/O
小型PLC为一体机 自备扩展接口
可编程序控制器
二.
指令
主要指令
位逻辑指令 定时器指令 计数器指令 传送指令 比较指令 数学运算指令 程序控制指令
江苏大学电工电子教研室
可编程序控制器
1.位逻辑指令
(1)位逻辑运算指令
规定
操作数为1时: 输入继电器动合触点闭合 输入继电器动断触点断开
江苏大学电工电子教研室
可编程序控制器
(2)输入/输出指令
1.主机
中央处理单元CPU 作 用
运算器 控制器
(1)从程序存储器读取程序指令,编译、执行指令。 (2)将各种输入信号取入。 (3) 把运算结果送到输出端。 (4) 响应各种外部设备的请求。
内部存储器
RAM 存储各种暂存数据、中间结 果、用户正调试的程序。 ROM 存放监控程序和用户已调试 好的程序。
Cxxx:常数 PV:VW.IW.QW.MW.SW

江苏大学PLC课程设计报告1

江苏大学PLC课程设计报告1

江苏大学PLC课程设计报告书学院:电气信息工程学院专业:自动化班级:1002学号:姓名:指导教师:2013/6/25课题一四组抢答器的PLC控制一、前言抢答器很广泛地用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。

PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。

本设计利用西门子公司的S7-200 PLC实现四组抢答器的设计要求。

关键词:四组抢答器, PLC控制二、设计要求控制要求:设有四组抢答器,有四位选手,一位主持人。

设有一个开始答题按钮,一个系统复位按钮。

按下开始答题按钮后,四位选手开始抢答,最先按下按钮的选手的抢答指示灯亮,同时该选手的编号在数码管上显示,其他选手的按钮不起作用。

如果主持人未按下开始答题按钮,就有选手抢答,则认为是犯规,犯规指示灯亮并闪烁;同时选手序号在数码管上显示,其他选手的按钮不起作用。

当支持人按下开始答题按钮后,时间开始倒计时,在5秒内仍无选手抢答,则系统超时指示灯亮,此后不能再有选手抢答。

所有各种情况在主持人按下系统复位按钮后,系统回到初始状态。

三、系统设计3.1硬件部分设计3.1.1 抢答器示意图(图 ①)PLC控制器HL1HL2HL3HL4HL5HL6SB3SB4SB5SB6SB1SB2开始复位图① 抢答器示意图3.1.1 抢答器PLC 控制接线图(图②)S7-200 CPU2261M I0.1I0.2I0.3I0.4I0.5…I0.0...M L+1L Q0.0Q0.1Q0.2Q0.32L Q0.4Q0.5…...~220VHL6HL1SB1SB6SB3DC24VSB4SB5SB2N LHL2HL3HL4HL5图② 抢答器PLC 控制接线图3.1.2 I/O地址分配表(表①)输入信号输出信号开始答题按钮SB1 I0.0 1号选手指示灯HL1 Q0.0 停止/复位答题按钮SB2 I0.1 2号选手指示灯HL2 Q0.1 1号选手抢答按钮SB3 I0.2 3号选手指示灯HL3 Q0.2 2号选手抢答按钮SB4 I0.3 4号选手指示灯HL4 Q0.3 3号选手抢答按钮SB5 I0.4 超市指示灯HL5 Q0.4 4号选手抢答按钮SB6 I0.5 犯规指示灯HL6 Q0.5表① I/O地址分配表3.2 程序设计3.2.1程序流程图设计(图③)准备抢答主持人未按下开始按钮有选手抢答?主持人按下开始按钮有选手抢答?第一个按下抢答按钮的选手指示灯亮,数码管显示选手号主持人按下复位按钮结束犯规指示灯(HL6)亮超时指示灯(HL5)亮NYYN图③ 程序流程图3.2.3梯形图及语句表程序设计(见附录一)四、设计反思略参考文献[1]肖宝兴.西门子S7-200 PLC的使用经验与技巧[M].机械工业出版社,2008[2]黄永红.电气控制与PLC应用技术[M].机械工业出版社,2011课题二自助洗车机PLC控制设计一、内容摘要本文介绍自动洗车控制系统的设计思想、设计步骤以及可以实现的功能。

动态密码的课程设计

动态密码的课程设计

动态密码的课程设计一、课程目标知识目标:1. 学生理解动态密码的基本概念,掌握其作为身份认证的原理;2. 学生掌握动态密码的生成方法和过程,了解不同类型的动态密码技术;3. 学生了解动态密码在网络安全中的作用,认识到其在保护用户隐私和数据安全方面的重要性。

技能目标:1. 学生能够运用所学知识,自主操作动态密码生成工具,生成一次性密码;2. 学生通过实践操作,学会在不同应用场景中应用动态密码,提高解决实际问题的能力;3. 学生能够分析动态密码的安全性,提出改进和优化方案,培养创新思维能力。

情感态度价值观目标:1. 学生培养对网络安全的关注和意识,认识到信息安全的重要性;2. 学生在小组合作中,学会分享和交流,培养团队协作精神;3. 学生通过学习动态密码,激发对计算机科学和信息技术的好奇心,培养探索精神和科学态度。

分析课程性质、学生特点和教学要求:本课程为信息技术课程,旨在帮助学生了解和掌握动态密码技术。

针对初中年级学生,课程内容注重实践操作和实际应用,使学生能够将所学知识应用于日常生活和学习中。

教学要求注重培养学生的动手能力、创新思维和团队协作精神,提高学生的信息安全意识。

通过具体的学习成果分解,使学生在知识、技能和情感态度价值观方面得到全面提升。

二、教学内容1. 动态密码基本概念:介绍动态密码的定义、特点及作用,结合教材第3章第2节内容,让学生了解动态密码在身份认证中的重要性。

- 一次性密码原理- 动态密码的分类及优缺点2. 动态密码生成方法:讲解动态密码的生成算法,结合教材第4章第1节内容,让学生掌握动态密码的生成过程。

- 时间同步算法- 事件同步算法- 数学算法3. 动态密码应用场景:分析动态密码在实际生活中的应用,结合教材第4章第2节内容,让学生了解动态密码在各种场景中的使用。

- 网上银行- 电子商务- 移动支付4. 动态密码安全性分析:讨论动态密码的安全性问题,结合教材第5章内容,让学生了解如何评估和提高动态密码的安全性。

第2章 流密码 现代密码学教案

第2章  流密码 现代密码学教案

图2.1 分组密码和流密码的比较
2.1.1 同步流密码
根据加密器中记忆元件的存储状态σi是否依赖于输入 的明文字符,流密码可进一步分成同步和自同步两 种。σi独立于明文字符的叫做同步流密码,否则叫做 自同步流密码。由于自同步流密码的密钥流的产生 与明文有关,因而较难从理论上进行分析。目前大 多数研究成果都是关于同步流密码的。在同步流密 码中,由于zi=f(k,σi)与明文字符无关,因而此时密文 字符yi=Ezi(xi)也不依赖于此前的明文字符。因此,可 将同步流密码的加密器分成密钥流产生器和加密变 换器两个部分。如果与上述加密变换对应的解密变 换为xi=Dzi(yi),则可给出同步流密码体制的模型如图 2.2所示。
一次一密密码是加法流密码的原型。事实上,如果 (即密钥用作滚动密钥流),则加法流密码就退化 成一次一密密码。实际使用中,密码设计者的最大 愿望是设计出一个滚动密钥生成器,使得密钥经其 扩展成的密钥流序列具有如下性质:极大的周期、 良好的统计特性、抗线性分析、抗统计分析。
2.1.2 有限状态自动机
q(x)=p(x)r(x),所以p(x)|q(x)。
(证毕)
上述定理说明可用n级LFSR产生的序列,也可用级 数更多的LFSR来产生。
定义2.2 设p(x)是GF(2)上的多项式,使p(x)|(xp-1)的 最小p称为p(x)的周期或阶。
定理2.3 若序列{ai}的特征多项式p(x)定义在GF(2)上, p是p(x)的周期,则{ai}的周期r|p。
有限状态自动机是具有离散输入和输出(输入集和 输出集均有限)的一种数学模型,由以下3部分组成: ① 有限状态集S={si|i=1,2,…,l}。 ② 有限输入字符集A1={A(1)j|j=1,2,…,m}和有限输出 字符集A2={A(2)k|k=1,2,…,n}。 ③ 转移函数A(2)k=f1(si,A(1)j),sh=f2(si,A(1)j)即在状态为 si,输入为A(1)j时,输出为A(2)k,而状态转移为sh。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.概述1.1设计目的(1)掌握 FPGA设计软件Quartus II的使用方法;(2)了解数字系统在信息安全领域中的应用,熟悉查阅资料方法,锻炼学习能力;(3)训练使用 Verilog HDL 进行较复杂逻辑电路设计的能力;(4)熟练使用调试工具,提高分析问题、解决问题的能力;(5)培养良好的文档习惯,锻炼撰写规范报告的能力。

1.2设计要求(1)能够全面地应用课程中所学的基本理论和基本方法,完成从逻辑电路到简单数字系统或计算机系统的设计;(2)能够独立思考、独立查阅资料,独立设计规定的系统;(3)能够独立地完成实施过程,包括电路设计、调试验证和排除错误。

1.3设计任务用Verilog HDL设计一个A5/1流密码器;设计多功能移位寄存器用于保存和移位要加密/解密的数据;用流密码器和移位寄存器组成对称加密数据通路;用状态机的方法设计控制单元,由控制单元控制自动完成加密及解密过程。

(1)分析系统功能需求,制定详细设计方案;(2)通过 Verilog HDL 完成规定的设计内容,采取模块化、层次化的设计方法设计电路;(3)在实验板上验证设计的正确性,认真记录测试过程中遇到的故障以及解决方法;(4)撰写设计报告,并对存在的问题进行分析、提出改进意见。

1.4实验设备及软件工具实验设备:windows系统电脑实验系统: WeLab远程实验板设计软件:Quartus II 12.0 Web Edition2.设计2.1功能分析本次设计的A5/1密码器是一个简单易用的硬件加密/解密器,它的功能分析如下:(1)保存和显示数据:硬件内部设计有移位寄存器来保存输入数据和加/解密获得的数据,通过数码管可以显示加/解密获得的数据。

即输入待处理的数据,启动密码器进行处理后,就可以显示处理后的数据(2)加密/解密输入的数据:本密码器是对称式的密码器,输入明文能得到相应的密文,而再输入相应的密文,就能解密出相应的明文,限制二进制输入位数为16位,A5/1密钥机制的复杂性使得它更难被破译2.2整体设计思路本密码器总体上分为两个大模块,一是外部接口模块,该模块中定义了外部信号和内部信号的连接,从而实现内外部的交互;二是FSM状态机控制单元,也是实验逻辑所在,该模块控制了密码器的状态运转,在每个时钟周期内进行相应的数据处理,次态计算,以及状态转换,从而实现每个时钟周期内加密一位输入数据,加密了16次之后将其进行输出模块一:外部接口(1)将输入端口赋值给内部信号:将连续16个开关赋值给16位输入信号IN,将按键0赋值给外部输入的启动信号start_asyn,将按键1赋值给重置信号_reset(2)内部信号赋值给输出端口(数码管)观察:一位数码管最多能表示四位二进制数,将16位的处理完成数据OUT分为四个部分分别赋值给四个数码管(3)启动信号处理与模块连接:将外部输入的启动信号start_asyn进行处理,在启动重置或者加/解密完成的时候将实际的启动信号start置0,在时钟来临的时候将start_asyn赋值给start。

将此模块连接到FSM控制模块模块二:FSM控制单元本控制单元的主要部分是一个三段式状态机和一个计数器,状态机的状态图如下:count<4’hF,count计数一次,加密一位start=0,未启动时(1)计数器:四位计数器,重置时计数值置0,启动加/解密时启动计数,总共加/解密16位计数16次,从0开始计数,到15即计数16次。

完成加/解密计数值置0 (2)状态机1)数据处理:重置信号为1则把输出寄存器清零。

时钟来临时,若现态为初态,start=1则根据输入数据和总密钥初始化输入寄存器和三个分密钥寄存器;若现态为加密态,加密一位输入数据后放入输出寄存器2)次态计算:若现态为初态,start=1则次态为加密态,否则为初态;若现态为加密态,count<4’hF则次态为加密态,否则为初态3)状态转换:重置信号为1则将现态转到初态。

时钟来临时,将现态转到计算好的次态运作流程和时序顺序:(1)时钟来临:外部启动信号start_asyn赋值给启动信号start(‘+’表示同时发生)+ 根据现态来处理数据 + 状态转换+计数器根据条件计数(2)重置:输出寄存器置0 + 现态跳转为初态 + 计数器清0 + 启动信号置0 (现态为上次时钟周期转换成的次态,组合逻辑的always块有自己的触发条件)2.3核心设计及阐释本次设计的核心设计为FSM控制单元,各部件详细设计如下:(1)计数器:敏感列表为时钟上升沿和重置信号上升沿,表示当时钟来临或者按下重置键的时候激活该部件,重置信号为1或现态为初态时计数器置0,现态为加密态是计数一次(2)状态定义和变量定义:需要用到的变量,总密钥在定义的时候初始化,并且不做更改。

状态定义,定义初态为0,加密态为1(3)输出逻辑(数据处理):数据处理是本次设计最核心的地方。

敏感列表为时钟上升沿和重置信号上升沿,表示当时钟来临或者按下重置键的时候激活该部件。

重置信号为1时将输出寄存器清零。

时钟来临时,若现态为初态,start=1则根据输入数据和总密钥初始化输入寄存器和三个分密钥寄存器;若现态为加密态,加密一位输入数据后放入输出寄存器,然后分密钥寄存器、输入寄存器和输出寄存器都需要进行移位。

三个分密钥有它们自己的线性反馈移位规则。

每次将最高位加密后放到最低位,其他位都向高位移动一位,当加密16次后,原来在最低位的第一位加密数据就回到了最高位下方的小部件是用来计算密码流的,每次分密钥寄存器进行了更改,就按照当前的分密钥进行一位密码流的计算,然后才能根据此密码流进行数据加密,因为需要先计算出使能位才能再计算密码流,所以只能使用组合逻辑和阻塞赋值,即满足触发条件时马上运行所有语句,code计算时使用刚计算好的M进行计算,而不是上次触发运行时M计算的结果(4)次态计算:敏感列表为*,表示所有信号改变的时候都会触发,这样就能在每个信号变换时及时决定好次态,这个部件也是组合逻辑,一经触发马上执行。

有信号变化时,若现态为初态,,完成信号done置0,start=1则次态为加密态,否则为初态;若现态为加密态,count<4’hF 则次态为加密态,完成信号done置0,否则,加密次数达到16次,将完成信号done置1,将输出寄存器的值赋给输出信号OUT。

注:数码管显示的时OUT的值,重置时重置的是输出寄存器OR的值(5)状态转换:敏感列表为时钟上升沿和重置信号上升沿,表示当时钟来临或者按下重置键的时候激活该部件。

重置信号为1则将现态转到初态。

时钟来临时,将现态转到计算好的次态,而数据处理部分是根据上次转换的次态来进行判断的,即本次时钟周期中,现态为上次时钟周期最后转换成的次态除此之外,时钟信号的控制对于时序电路的设计来说也是非常关键的,本次设计使用的时钟分频模块为偶分频模块,分频系数为50000,实验板的时钟频率为50MHz,所以分频后的时钟输出频率为1KHz,即最大时钟周期为1ms2.4验证测试输入四位16进制数据4’h1234,得到数据4’h2A57输入四位16进制数据4’h2A57,得到数据4’h1234输入四位16进制数据4’h ABCD,得到数据4’h93AE输入四位16进制数据4’h93AE,得到数据4’h ABCD 注:由于远程实验板更新数据比较不稳定,改变开关输入数据后前几次按下密码器启动键时,输出数据为未完成16次加密时的数据,但多按几次后会维持在一个稳定的显示数据,虽然再按也会有一两次输出不稳定,但稳定时的数据就是加密完成的数据,通过对称解密可以验证。

重置键重置的是内部输出寄存器,并不清零数码管观察的OUT信号,所以按下重置键没有现象,所以不作演示附录:设计源代码文件目录:只附上主要文件Virtual_Lab_Top.v和FSM_controller.v的代码:Virtual_Lab_Top.v://A5/1密码器`default_nettype nonemodule Virtual_Lab_Top(input wire CLOCK,input wire [4:0] BUTTON, //按键input wire [35:0] SWITCH, //开关output wire [35:0] LED, //指示灯output wire [3:0] HEX0, //数码管output wire [3:0] HEX1,output wire [3:0] HEX2,output wire [3:0] HEX3);//输入端口赋值给内部信号wire [15:0] IN = SWITCH[15:0]; //需加/解密的输入数据wire start_asyn = BUTTON[0]; //启动信号wire _reset = BUTTON[1]; //重置信号reg start; //启动信号定义wire done; //完成信号定义wire [15:0] OUT; //加/解密完成的输出数据信号定义//启动信号always@(posedge CLOCK or posedge _reset or posedge done)begini f(_reset==1 || done==1)start<=1'b0;e lsestart<= start_asyn;end//连接FSM控制模块FSM_controller u1(CLOCK,_reset,start,IN,OUT,done);//内部信号赋值给输出端口(数码管)观察assign HEX0 = OUT[3:0];assign HEX1 = OUT[7:4];assign HEX2 = OUT[11:8];assign HEX3 = OUT[15:12];endmoduleFSM_controller.v://-----------A5/1密码器-----------//FSM控制单元module FSM_controller(i nput CLOCK,_reset, start,i nput [15:0] IN,o utput reg [15:0] OR,o utput reg done);reg [15:0] IR,OUT; //输入,输出寄存器reg [63:0] LFSR = 64'h3170604015ABCDEF; //十六位总密钥reg [18:0] X; //分密钥Xreg [21:0] Y; //分密钥Yreg [22:0] Z; //分密钥Zreg M; //使能总位reg code; //每次得到的1位密码流reg [3:0] count; //4位计数器//----------计数器-----------always@(posedge CLOCK or posedge _reset) //为15即已加密16位begini f(_reset==1)count<=0;e lse if(current_state==init)count<=0;e lse if(current_state==encrypt)count<=count+1;endreg current_state, next_state;//----------状态定义----------localparam init=1'b0; //初始态localparam encrypt=1'b1; //加密态/*---------输出逻辑----------输出发生器定义了数据通路,并且通过行为描述来定义了数据通路的控制信号*/ always@(posedge CLOCK or posedge _reset)beginif(_reset==1)O R <= 0;elsebegincase(current_state)i nit:b egin //初始化if(start==1)beginIR <= IN;X <= LFSR[18:0];Y <= LFSR[40:19];Z <= LFSR[63:41];ende ncrypt:beginOR <= {OR[14:0],code^IR[15]};IR <= {IR[14:0],IR[15]};X <= {X[17:0],X[13]^X[16]^X[17]^X[18]};Y <= {Y[20:0],Y[20]^Y[21]};Z <= {Z[21:0],Z[7]^Z[20]^Z[21]^Z[22]};e nde ndcaseendendalways@(Z)beginM = X[8]&Y[10]|Y[10]&Z[10]|X[8]&Z[10];c ode = ((~M|~X[8])&X[18])^((~M|~Y[10])&Y[21])^((~M|~Z[10])&Z[22]); end//----------状态转换----------always@(*)beginc ase(current_state)i nit:b eginif(start==1)begindone=0;next_state=encrypt;endelsebegindone=0;next_state=init;ende nde ncrypt:if(count<4'hF)begindone=0;next_state=encrypt;endelsebegindone=1;next_state=init;OUT=OR;ende nde ndcaseend//----------状态转换----------always@(posedge CLOCK or posedge _reset) begini f(_reset==1)current_state <= init;e lsecurrent_state <= next_state;endendmodule。

相关文档
最新文档