FPGA学习步骤30页

FPGA学习步骤30页
FPGA学习步骤30页

FPGA学习步骤,我的体会

FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑到复杂的ASIC原型验证,从小家电到航天器,都可以看到FPGA应用,它的优点在这里无庸赘述。从个人实用角度看,对于学生,掌握FPGA可以找到一份很好的工作,对于有经验的工作人员,使用fgpa可以让设计变得非常有灵活性。掌握了fpga的设计,单板硬件设计就非常容易(不是系统设计),特别是上大学时如同天书的逻辑时序图,看起来就非常亲切。但fpga的入门却有一定难度,因为它不像软件设计,只要有一台计算机,几乎就可以完成所有的设计。fpga的设计与硬件直接相关,需要实实在在的调试仪器,譬如示波器等。这些硬件设备一般比较昂贵,这就造成一定的入门门槛,新人在入门时遇到一点问题或者困难,由于没有调试设备,无法定位问题,最后可能就会放弃。其实这时如果有人稍微指点一下,这个门槛很

容易就过去。

我用FPGA做设计很多年了,远达不到精通的境界,只是熟悉使用,在这里把我对fpga的学习步骤理解写出来,仅是作为一个参考,不对的地方,欢迎大家讨

论和指正。

1、工欲善其事,必先利其器。

计算机必不可少。目前FPGA应用较多的是Altera 和xilinx这两个公司,可以选择安装quartusII或者ISE

软件。这是必备的软件环境。

硬件环境还需要下载器、目标板。虽然有人说没有下载器和目标板也可学习fpga,但那总是纸上谈兵。这就像谈女朋友,总是嘴上说说,通个电话,连个手都没牵,能说人家是你朋友?虽说搭建硬件环境需要花费,但想想,硬件环境至多几百元钱,你要真的掌握FPGA的设计,起薪比别人都不止高出这么多。这点花费算什么?

2、熟悉verilog语言或者vhdl语言,熟练使用

quartusII或者ISE软件。

VHDL和verilog各有优点,选择一个,建议选择verilog。熟练使用设计软件,知道怎样编译、仿真、下载

等过程。

起步阶段不希望报一些培训班,除非你有钱,或者运气好,碰到一个水平高、又想把自己的经验和别人共享的培训老师,不然的话,培训完后总会感觉自己是一个冤大头。入门阶段可以在利用网络资源完成。

3、设计一个小代码,下载到目标板看看结果

此时可以设计一个最简答的程序,譬如点灯。如

果灯在闪烁了,表示基本入门了。如果此时能够下载到fpga 外挂的flash,fpga程序能够从flash启动,表明fpga的最简单设计你已经成功,可以到下一步。

4、设计稍微复杂的代码,下载到目标板看看结果。

可以设计一个UART程序,网上有参考,你要懂RS232协议和fpga内置的逻辑分析仪。网上下载一个串口调试助手,调试一番,如果通信成功了,恭喜,水平有提

高。进入下一步。

5、设计复杂的代码,下载到目标板看看结果。

譬如sdram的程序,网上也有参考,这个设计难度有点大。可用串口来调试sdram,把串口的数据存储到sdram,然后读回,如果成功,那你就比较熟悉fpga的设计

饿了

6、设计高速接口,譬如ddr2或者高速串行接口

这要对fpga的物理特性非常了解,而且要懂得是时序约束等设计方法,要看大量的原厂文档,这部分成功了,那就对fpga的物理接口掌握很深,你就是设计高手了

7、设计一个复杂的协议

譬如USB、PCIexpress、图像编解码等,锻

炼对系统的整体把握和逻辑划分。完成这些,你就是一个一

流的高手、

8、学习再学习

学习什么,我也不知道,我只知道“学无止

境,山外有山”。

上述只是一些简单的学习步骤,希望能对大家有所帮助!

Verilog经验谈

规范很重要

工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得从头开始设计;如果需要在原来的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用性。

在逻辑方面,我觉得比较重要的规范有这些:

1.设计必须文档化。要将设计思路,详细实现等写入文档,然后经过严格评审通过

后才能进行下一步的工作。这样做乍看起来很花时间,但是从整个项目过程来看,绝对

要比一上来就写代码要节约时间,且这种做法可以使项目处于可控、可实现的状态。

2.代码规范。

a.设计要参数化。比如一开始的设计时钟周期是30ns,复位周期是5个时钟周期,我们可以这么写:

parameter CLK_PERIOD = 30;

parameter RST_MUL_TIME = 5;

parameter RST_TIME = RST_MUL_TIME * CLK_PERIOD;

...

rst_n = 1'b0;

# RST_TIME rst_n = 1'b1;

...

# CLK_PERIOD/2 clk <= ~clk;

如果在另一个设计中的时钟是40ns,复位周期不变,我们只需对CLK_PERIOD进行重新例化就行了,从而使得代码更加易于重用。

b.信号命名要规范化。

1) 信号名一律小写,参数用大写。

2) 对于低电平有效的信号结尾要用_n标记,如rst_n。

3) 端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪个模块去的关系排列,这样在后期仿真验证找错时后方便很多。如:

module a(

//input

clk,

rst_n, //globle signal

wren,

rden,

avalon_din, //related to avalon bus

sdi, //related to serial port input

//output

data_ready,

avalon_dout, //related to avalon bus

...

);

4) 一个模块尽量只用一个时钟,这里的一个模块是指一个module或者是一个tity。在多时钟域的设计中涉及到跨时钟域的设计中最好有专门一个模块做时钟域的隔离。这样做可以让综合器综合出更优的结果。

5) 尽量在底层模块上做逻辑,在高层尽量做例化,顶层模块只能做例化,禁止出现任何胶连逻辑(glue logic),哪怕仅仅是对某个信号取反。理由同上。

6) 在FPGA的设计上禁止用纯组合逻辑产生latch,带D触发器的latch的是允

,比如配置寄存器就是这种类型。

7) 一般来说,进入FPGA的信号必须先同步,以提高系统工作频率(板级)。

所有模块的输出都要寄存器化,以提高工作频率,这对设计做到时序收敛也是极有好处的。

9) 除非是低功耗设计,不然不要用门控时钟--这会增加设计的不稳定性,在要用到门控时钟的地方,也要将门控信号用时钟的下降沿打一拍再输出与时钟相与。

clk_gate_en --------

-----------------|D Q |------------------|

_out

| |

-

------o|> | |

clk | -------- |

------------------------------------

10)禁止用计数器分频后的信号做其它模块的时钟,而要用改成时钟使能的方式,否则这种时钟满天飞的方式对设计的可靠性极为不利,也大大增加了静态时序分析的

复杂性。如FPGA的输入时钟是25M的,现在系统内部要通过RS232与PC通信,要以rs23 1xclk的速率发送数据。

不要这样做:

always (posedge rs232_1xclk or negedge rst_n)

begin

...

而要这样做:

always (posedge clk_25m or negedge rst_n) begin

...

else if ( rs232_1xclk == 1'b1 )

...

end

11)状态机要写成3段式的(这是最标准的写法),即

...

always @(posedge clk or negedge rst_n)

...

current_state <= next_state;

...

always @ (current_state ...)

...

case(current_state)

...

s1:

if ...

next_state = s2;

...

always @(posedge clk or negedge rst_n)

...

else

a <= 1'b0;

c <= 1'b0;

c <= 1'b0; //赋默认值

case(current_state)

s1:

a <= 1'b0; //由于上面赋了默认值,、c赋值了(b、c在该状态为0,不会产生锁存器,下同)

s2:

b <= 1'b1;

s3:

c <= 1'b1;

default:

...

...

3.ALTERA参考设计准则

1) Ensure Clock, Preset, and Clear configurations are free of glitc es.

2) Never use Clocks consisting of more than one level of combinator al logic.

3) Carefully calculate setup times and hold times for multi-Clock s stems.

4) Synchronize signals between flipflops in multi-Clock systems whe the setup and hold time requirements cannot be met.

5) Ensure that Preset and Clear signals do not contain race conditi ns.

6) Ensure that no other internal race conditions exist.

7) Register all glitch-sensitive outputs.

Synchronize all asynchronous inputs.

9) Never rely on delay chains for pin-to-pin or internal delays.

10)Do not rely on Power-On Reset. Use a master Reset pin to clear a l flipflops.

11)Remove any stuck states from state machines or synchronous logic

其它方面的规范一时没有想到,想到了再写,也欢迎大家补充。

==============================================================================时序是设计出来的

我的boss有在华为及峻龙工作的背景,自然就给我们讲了一些华为及altera做逻辑

的一些东西,而我们的项目规范,也基本上是按华为的那一套去做。在工作这几个月中,给我感触最深的是华为的那句话:时序是设计出来的,不是仿出来的,更不是湊出来的。

在我们公司,每一个项目都有很严格的评审,只有评审通过了,才能做下一步的工作。以做逻辑为例,并不是一上来就开始写代码,而是要先写总体设计方案和逻辑详细设计方案,要等这些方案评审通过,认为可行了,才能进行编码,一般来说这部分工作所占的时间要远大于编码的时间。

总体方案主要是涉及模块划分,一级模块和二级模块的接口信号和时序(我们要求把接口信号的时序波形描述出来)以及将来如何测试设计。在这一级方案中,要保证在今后的设计中时序要收敛到一级模块(最后是在二级模块中)。什么意思呢?我们在做详细设计的时候,对于一些信号的时序肯定会做一些调整的,但是这种时序的调整最多只能波及到本一级模块,而不能影响到整个设计。记得以前在学校做设计的时候,由于不懂得设计时序,经常因为有一处信号的时序不满足,结果不得不将其它模块信号的时序也改一下,搞得人很郁闷。

在逻辑详细设计方案这一级的时候,我们已经将各级模块的接口时序都设计出来了,各级模块内部是怎么实现的也基本上确定下来了。

由于做到这一点,在编码的时候自然就很快了,最重要的是这样做后可以让设计会一直处于可控的状态,不会因为某一处的错误引起整个设计从头进行。

如何提高电路工作频率

对于设计者来说,我们当然希望我们设计的电路的工作频率(在这里如无特别说明,工作频率指FPGA片内的工作频率)尽量高。我们也经常听说用资源换速度,用流水的方式可以提高工作频率,这确实是一个很重要的方法,今天我想进一步去分析该如何提高电路的工作频率。

我们先来分析下是什么影响了电路的工作频率。

我们电路的工作频率主要与寄存器到寄存器之间的信号传播时延及clock skew有关。在FPGA内部如果时钟走长线的话,clock skew很小,基本上可以忽略, 在这里为了简单起见,我们只考虑信号的传播时延的因素。

信号的传播时延包括寄存器的开关时延、走线时延、经过组合逻辑的时延(这样划分或许不是很准确,不过对分析问题来说应该是没有可以的),要提高电路的工作频率,我们就要在这三个时延中做文章,使其尽可能的小。

我们先来看开关时延,这个时延是由器件物理特性决定的,我们没有办法去改变,所以我们只能通过改变走线方式和减少组合逻辑的方法来提高工作频率。

1.通过改变走线的方式减少时延。

以altera的器件为例,我们在quartus里面的timing closure floorplan可以看到很多条条块块,我们可以将条条块块按行和按列分,每一个条块代表1个LAB,每个LAB里有8个或者是10个LE。它们的走线时延的关系如下:同一个LAB中(最快) < 同列或者行 < 不同行且不同列。

我们通过给综合器加适当的约束(不可贪心,一般以加5%裕量较为合适,比如电路工作在100Mhz,则加约束加到105Mhz就可以了,贪心效果反而不好,且极大增加综合时间)可以将相关的逻辑在布线时尽量布的靠近一点,从而减少走线的时延。(注:约束

的实现不完全是通过改进布局布线方式去提高工作频率,还有其它的改进措施)

2.通过减少组合逻辑的减少时延。

上面我们讲了可以通过加约束来提高工作频率,但是我们在做设计之初可万万不可

将提高工作频率的美好愿望寄托在加约束上,我们要通过合理的设计去避免出现大的组

合逻辑,从而提高电路的工作频率,这才能增强设计的可移植性,才可以使得我们的设

计在移植到另一同等速度级别的芯片时还能使用。

我们知道,目前大部分FPGA都基于4输入LUT的,如果一个输出对应的判断条件大四输入的话就要由多个LUT级联才能完成,这样就引入一级组合逻辑时延,我们要减少组合逻辑,无非就是要输入条件尽可能的少,,这样就可以级联的LUT更少,从而减少了组合逻辑引起的时延。

我们平时听说的流水就是一种通过切割大的组合逻辑(在其中插入一级或多级D触发器,从而使寄存器与寄存器之间的组合逻辑减少)来提高工作频率的方法。比如一个32

位的计数器,该计数器的进位链很长,必然会降低工作频率,我们可以将其分割成4位和8位的计数,每当4位的计数器计到15后触发一次8位的计数器,这样就实现了计数器的割,也提高了工作频率。

在状态机中,一般也要将大的计数器移到状态机外,因为计数器这东西一般是经常是大于4输入的,如果再和其它条件一起做为状态的跳变判据的话,必然会增加LUT的级联,从而增大组合逻辑。以一个6输入的计数器为例,我们原希望当计数器计到111100后状态跳变,现在我们将计数器放到状态机外,当计数器计到111011后产生个enable信号去触发状态跳变,这样就将组合逻辑减少了。

上面说的都是可以通过流水的方式切割组合逻辑的情况,但是有些情况下我们是很难去切割组合逻辑的,在这些情况下我们又该怎么做呢?

状态机就是这么一个例子,我们不能通过往状态译码组合逻辑中加入流水。如果我们的设计中有一个几十个状态的状态机,它的状态译码逻辑将非常之巨大,毫无疑问,

这极有可能是设计中的关键路径。那我们该怎么做呢?还是老思路,减少组合逻辑。我

们可以对状态的输出进行分析,对它们进行重新分类,并根据这个重新定义成一组组小

状态机,通过对输入进行选择(case语句)并去触发相应的小状态机,从而实现了将大的

状态机切割成小的状态机。在ATA6的规范中(硬盘的标准),输入的命令大概有20十种,每一个命令又对应很多种状态,如果用一个大的状态机(状态套状态)去做那是不可

想象的,我们可以通过case语句去对命令进行译码,并触发相应的状态机,这样做下来这一个模块的频率就可以跑得比较高了。

总结:提高工作频率的本质就是要减少寄存器到寄存器的时延,最有效的方法就是避免出现大的组合逻辑,也就是要尽量去满足四输入的条件,减少LUT级联的数量。我们可以通过加约束、流水、切割状态的方法提高工作频率。

==============================================================================做逻辑的难点在于系统结构设计和仿真验证

刚去公司的时候BOSS就和我讲,做逻辑的难点不在于RTL级代码的设计,而在于系结构设计和仿真验证方面。目前国内对可综合的设计强调的比较多,而对系统结构设计

和仿真验证方面似乎还没有什么资料,这或许也从一个侧面反映了国内目前的设计水平

还比较低下吧。

以前在学校的时候,总是觉得将RTL级代码做好就行了,仿真验证只是形式而已,所以对HDL的行为描述方面的语法不屑一顾,对testbench也一直不愿意去学--因为觉得画波形图方便;对于系统结构设计更是一点都不懂了。

到了公司接触了些东西才发现完全不是这样。

其实在国外,花在仿真验证上的时间和人力大概是花在RTL级代码上的两倍,现在仿真验证才是百万门级芯片设计的关键路径。仿真验证的难点主要在于怎么建模才能完全

和准确地去验证设计的正确性(主要是提高代码覆盖),在这过程中,验证速度也是很

重要的。

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

财务软件安装操作步骤说明

财务软件安装操作步骤说明 一.安装财务Oracle软件 1.将本机jdk文件夹复制到对方D盘根目录下; 2.将本机的hosts文件复制到对方etc文件下,路径如图:; 3.将对方机器中jdk文件夹中bin子文件夹中的“Oracle财务.Imk(快捷方式)”复制到 对方桌面上,如图所示:; 4.双击该快捷方式(跳出的页面选择Accept),如果跳出Oracle登陆界面即可。 如图所示: 二.安装财务凭证软件(先安装财务凭证软件,再安装ERP)

1.在对方机器的运行中输入\\196.6.9.44;在如图所示的路径中找到“ORAINST.EXE”文 件; 2.开始安装:运行 ⑴语言:(选择)简体中文; ⑵目标路径改为“C:”下(注意:与后面ERP所装的ORAINST文件夹不能安装在一个盘内); ⑶到这步 选择5个安装项(如图所示): 选择安装; ⑷一直ok到结束; ⑸到这步

点“退出”即可; 3.将本机App_mate文件夹复制到对方D盘根目录下; 4.将本机中文件复制到对方admin文件下, 路径如图:; 5.将本机“(快捷方式)”复制至对方桌面; 6.关闭多余文件夹; 7.打开登录界面即可; 8.若对方要求配置打印机,先选择合适的打印机型号,在打印机设置中选择打印机服务器 属性,创建新格式(注意:设置——宽度大小不变、高度大小减半) 注意:若安装失败,卸载时需到注册表中进行删除,再把主机中原有文件夹删除即可。三.安装BO 1. 在对方机器的运行中输入\\196.6.9.44;在如图所示的路径中找到“sno.txt”文件,并打开;

2. 同时,在如图所示的路径中找到“”文件; 3.开始安装:运行 ⑴Begin→Next→输入序列号: →Next→Next ⑵到这步 选择“Custom Setup”项 ⑶到这步 选择4个安装项(如图所示):(先全不选,在进行勾选) 选择安装;“BusinessObjects”、“Designer”、 “Document Agent”、在Data Access中选择 “Oracle”(共4项) ⑷在弹出的文件夹中找到“Business objects” 快捷方式至对方桌面; ⑸关闭多余文件夹; 4.将本机bo_rep文件夹复制到对方D盘根目 录下; 5.双击“Business objects(快捷方式)”

DSP程序烧写步骤

一、连接好DSP电源及仿真器(设备管理器中能检测到仿真器) 二、点击桌面图标

三、选择对应的仿真器和DSP(图中已经选好了,直接保存并退出) “确认”

四、进入仿真界面,此时系统与目标板还未连接 在“Debug”中选择“Connect”将系统与目标板连接

五、如图,右键“Projects”,载入工程文件 工程文件目录为文件目录为C:\CCStudio_v3.3\MyProjects\DSP2812M_examples\DPS2812M_KEYBOARD (图中,点击后直接进入工程目录,此时直接选中.pjt文件并打开即可)

六、打开后界面如下: 注意:工程中的“F2812.cmd”文件(如下图所示)为烧写程序时用的cmd文件,编译及调试程序时用的cmd文件为“F2812_EzDSP_RAM_lnk.cmd”,两者均在目录C:\CCStudio_v3.3\MyProjects\DPS2812M_common\cmd下,如下图中所示:

“F2812.cmd”文件和“F2812_EzDSP_RAM_lnk.cmd”文件所在目录如下: 如果从调试程序到烧写程序或者相反过程,都需要更换cmd文件,更换后一定要先编译cmd 文件后才能避免出现问题 七、烧写程序 烧写程序即是将编译及调试正确的程序(.out文件)烧写到Flash中,本实验烧写的是DPS2812M_KEYBOARD.out文件,其目录为C:\CCStudio_v3.3\MyProjects\DSP2812M_examples\DPS2812M_KEYBOARD\Debug 选择“Tools”下的“F28xx on-chip flash programmer”,如下图:

使用QUARTUS II做FPGA开发全流程,傻瓜式详细教程

My First FPGA Design Tutorial 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 https://www.360docs.net/doc/5315358797.html, TU-01002-1.0

Copyright ? 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device des-ignations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Al-tera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the ap- plication or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published in- formation and before placing orders for products or services. Printed on recycled paper

Fresscale MCU 烧录方法

Fresscale MCU 烧录方法 Fresscale 的MCU 常用的烧录方法有两种常用的烧录方法有两种。。一、使用CodeWarrior 编译环境建立工程后在线烧录。二、安装CodeWarrior 时自动会安装烧录软件HIWARE.EXE ,运行该烧录软件运行该烧录软件,,可在没有工程的境况下直接烧录可在没有工程的境况下直接烧录机器码机器码.S19文件文件。。 方法一的烧录步骤如下方法一的烧录步骤如下:: 步骤一步骤一::按照图一正确连接电路按照图一正确连接电路,,转换小板的 4Pin 排序如图所示排序如图所示,,转换小板和目标板之间可根据实际情况更改连接方式板和目标板之间可根据实际情况更改连接方式。。 图一 步骤二步骤二::PE 和电脑连接后和电脑连接后,,蓝灯亮蓝灯亮。。给目标板上电后给目标板上电后,,黄灯亮黄灯亮。。打开CodeWarrior 编译环境编译环境,,编译无误后编译无误后,,点击Debug 。 图二 负极正极 PE 转接板VCC GND RST BGND Compile Debug

步骤三步骤三::点击Debug 后,跳出仿真页面跳出仿真页面,,等待代码烧写完成关闭仿真页面等待代码烧写完成关闭仿真页面,,程序烧录完毕程序烧录完毕。。 图三 方法二的烧录步骤方法二的烧录步骤:: 步骤一步骤一::按方法一步骤一连接PE 并上电并上电。。 步骤二步骤二::打开CodeWarrior 的安装文件夹的安装文件夹,,找到Prog 文件夹文件夹。。 图四

步骤三步骤三::打开Prog 文件夹文件夹,,找到HIWARE.EXE 并双击运行 图五 步骤四步骤四::选择Component----Set connection 。 图六

FPGA开发入门教程

Altera FPGA开发入门教程

目录 目录 第一章 Altera FPGA 开发流程概述 (1) 1.1 你需要准备的 (1) 1.2 Altera FPGA 基本开发流程 (1) 第二章 QuartusII 软件安装教程 (4) 第三章 完成第一个FPGA设计 (20) 3.1 启动和建立QuartusII工程 (20) 3.2 编辑我们的设计文件 (27) 3.3 综合、布局布线 (30) 3.4 引脚约束 (34) 3.5 再次综合、布局布线 (37) 第四章 配置FPGA (38) 4.1 JTAG配置 (38) 4.2 JIC烧写 (42)

第一章Altera FPGA 开发流程概述 本章介绍Altera FPGA的最基本最简单的开发流程,目的在于让您更直观了解FPGA开发设计过程,最快上手FPGA开发,最快找到感觉:-) 1.1你需要准备的 ●兴趣 无需多言,兴趣是最好的老师! ●基本电路知识 学习FPGA最好能懂一些模拟电路和数字电路的基础知识,比如知道什么是高电平、低电平、逻辑门、触发器、电阻电容、发光二极管等。只需基本概念即可,不要求你是专家。当然,如果你有单片机之类的开发经验,那会更好! ●Verilog语言 是的,我们用Verilog进行FPGA设计。因为近年来,Verilog的使用率已经远远超过VHDL。你不需要太精通Verilog的语法,但是你需要用硬件的思维来学习和使用Verilog。在接下来的FPGA学习中,我们会反复强调这一点,以便带给你更深的体会。 ●硬件平台 纸上得来终觉浅。一块优秀而又易用的入门级FPGA开发板,会祝您一臂之力! 1.2A ltera FPGA 基本开发流程 图1- 1展示了Altera FPGA的基本开发流程。 1

FPGA学习指南

PS:笔者强烈建议诸位注册一个EETOP的账号,每天签到或者发贴、回贴就有积分了,里面的资源非常丰富,各种软件、资料都能找到。 一、入门首先要掌握HDL(HDL=verilog+VHDL)。 第一句话是:还没学数电的先学数电。然后你可以选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而不是在学习如何使用它。当然,你思维能转得过来,也可以选verilog,毕竟在国内verilog用得比较多。 接下来,首先找本实例抄代码。抄代码的意义在于熟悉语法规则和编译器(这里的编译器是硅编译器又叫综合器,常用的编译器有:Quartus、ISE、Vivado、Design Compiler、Synopsys的VCS、iverilog、Lattice的Diamond、Microsemi/Actel的Libero、Synplify pro),然后再模仿着写,最后不看书也能写出来。编译完代码,就打开RTL图,看一下综合出来是什么样的电路。 HDL是硬件描述语言,突出硬件这一特点,所以要用数电的思维去思考HDL,而不是用C语言或者其它高级语言,如果不能理解这句话的,可以看《什么是硬件以及什么是软件》。在这一阶段,推荐的教材是《Verilog传奇》、《Verilog HDL高级数字设计》或者是《用于逻辑综合的VHDL》。不看书也能写出个三段式状态机就可以进入下一阶段了。 此外,你手上必须准备Verilog或者VHDL的官方文档,《verilog_IEEE官方标准手册-2005_IEEE_P1364》、《IEEE Standard VHDL Language_2008》,以便遇到一些语法问题的时候能查一下。 二、独立完成中小规模的数字电路设计。 现在,你可以设计一些数字电路了,像交通灯、电子琴、DDS等等,推荐的教材是《Verilog HDL应用程序设计实例精讲》。在这一阶段,你要做到的是:给你一个指标要求或者时序图,你能用HDL设计电路去实现它。这里你需要一块开发板,可以选Altera的cyclone IV系列,或者Xilinx的Spantan 6。还没掌握HDL之前千万不要买开发板,因为你买回来也没用。这里你没必要每次编译通过就下载代码,咱们用modelsim仿真(此外还有QuestaSim、NC verilog、Diamond的Active-HDL、VCS、Debussy/Verdi等仿真工具),如果仿真都不能通过那就不用下载了,肯定不行的。在这里先掌握简单的testbench就可以了。推荐的教材是《WRITING TESTBENCHES Functional Verification of HDL Models》。 三、掌握设计方法和设计原则。 你可能发现你综合出来的电路尽管没错,但有很多警告。这个时候,你得学会同步设计原则、优化电路,是速度优先还是面积优先,时钟树应该怎样设计,怎样同步两个异频时钟 《Altera FPGA/CPLD 等等。推荐的教材是《FPGA权威指南》、《IP核芯志-数字逻辑设计思想》、 设计》第二版的基础篇和高级篇两本。学会加快编译速度(增量式编译、LogicLock),静态时序分析(timequest),嵌入式逻辑分析仪(signaltap)就算是通关了。如果有不懂的地方可以暂时跳过,因为这部分还需要足量的实践,才能有较深刻的理解。 四、学会提高开发效率。 因为Quartus和ISE的编辑器功能太弱,影响了开发效率。所以建议使用Sublime text 编辑器中代码片段的功能,以减少重复性劳动。Modelsim也是常用的仿真工具,学会TCL/TK 以编写适合自己的DO文件,使得仿真变得自动化,推荐的教材是《TCL/TK入门经典》。你可能会手动备份代码,但是专业人士都是用版本控制器的,所以,为了提高工作效率,必须掌握GIT。文件比较器Beyond Compare也是个比较常用的工具。此外,你也可以使用System Verilog来替代testbench,这样效率会更高一些。如果你是做IC验证的,就必须掌

FPGA入门系列实验教程——LED跑马灯

艾米电子工作室FPGA入门系列实验教程 FPGA入门系列实验教程V1.0 前言 目前市场销售FPGA开发板的厂商很多,但大多只提供些简单的代码,没有详尽的文档和教程提供给初学者。经验表明,很多学生在学习FPGA设计技术的过程中,虽然刚开始学习热情很高,但真正坚持下来一直到“学会”的却寥寥无几,除了学生个人毅力的因素外,另外一个更主要的原因就是所选的开发板缺少配套的说明文档和手把手系列的入门教程。原本FPGA的学习门槛相对于单片机来说就高了不少,再加上缺少手把手系列教程资料,这就给初学者学习FPGA增添了更多的困难,很多初学者因为找不到入门的方法而渐渐失去了学习FPGA的兴趣和信心。 作者从接著到系统学习FPGA有两年多的时间了,学习FPGA的时间不长,期间因为没有专业的老师指导,自己摸索学习FPGA走了很多的弯路。有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程,回想起自己学习FPGA的历程,从开始的茫然,到后来的疯狂看书,购买开发板,在开发板上练习各种FPGA实例,到最后能独立完成项目,一路走来,感受颇多。发觉学习FPGA只要选择正确的方法是有捷径可走的,有很多人学习FPGA很长时间,因为没有找到正确的方法还是停留在入门阶段。 针对现状,作者从初学者的角度出发,结合作者学习FPGA的经验和亲身体会,遵循“宁可啰嗦一点,也不放过细节”的原则编写了详尽的实验教程作为艾米电子工作室开发套件的配套教程使用,主要面向FPGA初学者。FPGA的学习只有通过大量的操作与实践才能很好并快速的掌握。为此本实验教程从点亮LED 灯写起,深入浅出,以图文并茂的方式由易到难地列举了很多实例,采用手把手、Step by Step的方式让初学者以最快的方式掌握FPGA技术的开发流程以及Quartus II软件的使用,从而激起初学者学习FPGA的兴趣。在教程中作者采用“授人以渔”的方式,努力做到不仅讲述怎样进行试验,而且分析为什么这样做,以便初学者深刻理解并快速掌握FPGA的学习方法。 本实验教程的所有实例均在艾米电子工作室开发套件上验证通过,本教程虽然简单但编写也花费了作者大量的时间和精力,对于转载需要注明出处:https://www.360docs.net/doc/5315358797.html,(艾米电子工作室作者:静水流深),并未经艾米电子工作室同意不得用于其他商业用途。 FPGA技术是不断发展变化的,要掌握FPGA技术的精髓,需要设计者在实践中不懈地摸索与积累,逐步提高自己的设计水平,本实验教程试图对初学者起到快速入门的作用。但由于作者学习FPGA时间不长,水平有限,错漏和不严谨之处在所难免,欢迎大家批评指正。并请您将阅读中发现的错误或建议发送到作者Email:zhoujie9220@https://www.360docs.net/doc/5315358797.html,,以使本教程不断地完善。

ICD3烧录步骤

ICD3烧录步骤 1、 在进行烧录之前必须安装MicroChip 公司的编程软件MPLAB IDE : !*+.L0MNOPQNR yes ”然后重启电脑。 3、 将ICD3调试器的引线连接好,引线中USB 接口的为连接电脑的数据线,六条细线的连 接口为调试口,图片如下: 点击此链 接下载

4、连接好ICD3的两条线,将UBS线接头插入电脑USB接口,第一次使用ICD3屏幕右下 方会出现其驱动标志然后会跳出对话框要求我们完成硬件驱动向导,单击下一步系统直到完成ICD3驱动程序的安装,然后单击“完成”按钮来完成驱动程序的安装。(备注:安装ICD3驱动之前必须先安装MPLAB IDE,因为ICD3的系统驱动在给软件的文件夹里) 5、在打开我们的编程软件之前,必须检查,需要编译调试或烧录的程序不能放于桌面而且 程序的文件夹路径不能含有中文,注意这些事项后双击打开MPLAB IDE软件

6、单击

9、设置完毕后单击:programmer→Reconnect 在出现的的对话框中点击“OK”进行重新连 接,连接完成后如果没有问题则会出现如下的画面,如果出现警告则需拔掉所有的连接线按步骤重新连接设置。 10、连接成功后就可以开始烧录,(以下步骤一定要按顺序完成)点击图标: 进行烧录。烧录成功后则拔掉PCB板,若还要烧录其他PCB则插入新的PCB按照步骤10反复烧录。全部烧录完成后则点击programmer→select programmer→none关闭ICD3烧录功能,并拔掉所有连接。录成功后会屏幕会出现如下图:

点击如下标注点察除芯片内的程序: 点击如下标注点执行烧录察除成功标志 单击此图标 擦除芯片 单击此图标 执行烧录

Xilinx_FPGA中文教程

Spartan-3E Starter Kit Board User Guide

Chapter 1: Introduction and Overview Chapter 2: Switches, Buttons, and Knob Chapter 3: Clock Sources Chapter 4: FPGA Configuration Options Chapter 5: Character LCD Screen Chapter 6: VGA Display Port Chapter 7: RS-232 Serial Ports Chapter 8: PS/2 Mouse/Keyboard Port Chapter 9: Digital to Analog Converter (DAC) Chapter 10: Analog Capture Circuit Chapter 11: Intel StrataFlash Parallel NOR Flash PROM Chapter 12: SPI Serial Flash Chapter 13: DDR SDRAM Chapter 14: 10/100 Ethernet Physical Layer Interface Chapter 15: Expansion Connectors Chapter 16: XC2C64A CoolRunner-II CPLD Chapter 17: DS2432 1-Wire SHA-1 EEPROM

Chapter 1:Introduction and Overview Spartan-3E 入门实验板使设计人员能够即时利用Spartan-3E 系列的完整平台性能。 设备支持设备支持::Spartan-3E 、CoolRunner-II 关键特性关键特性::Xilinx 器件: Spartan-3E (50万门,XC3S500E-4FG320C), CoolRunner?-II (XC2C64A-5VQ44C)与Platform Flash (XCF04S-VO20C) 时钟时钟::50 MHz 晶体时钟振荡器 存储器: 128 Mbit 并行Flash, 16 Mbit SPI Flash, 64 MByte DDR SDRAM 连接器与接口: 以太网10/100 Phy, JTAG USB 下载,两个9管脚RS-232串行端口, PS/2类型鼠标/键盘端口, 带按钮的旋转编码器, 四个滑动开关,八个单独的LED 输出, 四个瞬时接触按钮, 100管脚hirose 扩展连接端口与三个6管脚扩展连接器 显示器: VGA 显示端口,16 字符- 2 线式 LCD 电源电源::Linear Technologies 电源供电,TPS75003三路电源管理IC 市场: 消费类, 电信/数据通信, 服务器, 存储器 应用: 可支持32位的RISC 处理器,可以采用Xilinx 的MicroBlaze 以及PicoBlaze 嵌入式开发系统;支持DDR 接口的应用;支持基于Ethernet 网络的应用;支持大容量I/O 扩展的应用。 Choose the Starter Kit Board for Your Needs Spartan-3E FPGA Features and Embedded Processing Functions Spartan3-E FPGA 入门实验板具有Spartan3-E FPGA 系列突出独特的特点和为嵌入式处理发展与应用提供了很大的方便。该板的特点如下: Spartan3-E 特有的特征:并行NOR Flash 配置;通过并行NOR Flash PROM 实现FPGA 的多种配置方式 嵌入式系统:MicroBlaze? 32-bit 嵌入RISC 处理器;PicoBlaze? 8-bit 嵌入控制器;DDR 存储器接口 Learning Xilinx FPGA, CPLD, and ISE Development Software Basics Spartan3-E FPGA 入门实验板比其他的入门实验板先进、复杂。它是学习FPGA 或CPLD 设计和怎样运用ISE 软件的基础。 Advanced Spartan-3 Generation Development Boards 入门实验板示范了MicroBlaze? 32-bit 嵌入式处理器和EDK 的基本运用。其更先进的地方

各种工程软件安装通用方法

工程软件安装心得 大型工程软件如Ansys、Fluent、Unigraph、ProE等安装需要经过注册程序Flexlm才可以使用,而Flexlm中涉及到很多知识、技巧,也存在许多问题。本篇文章就是针对上述软件安装中的常见问题作一些探讨与解决。 Flexlm 介绍—常见EDA软件的license管理 一、什么是Flexlm? Flexlm是由Globetrotter 公司发明的软件加密方法Flex ible L icense M anager。Globetrotter 公司向软件厂商出售相关开发软件,软件厂商把此加密程序集成到自己的软件中。可以锁定机器的硬盘号,网卡号,使用日期,支持加密狗,以保护软件的知识产权。被80%以上的EDA 软件公司所采用,是目前最流行的EDA软件加密方法,保护着世界上价值几百亿美元的EDA 软件。了解Flexlm可以使我们正确的安装,管理和使用多个EDA软件。 Flexlm所管理的是License文件,所以我们首先要了解License文件的结构,以便于运行Flexlm管理License文件。 二、License文件的结构 License文件由注释行,Server行,Demon行, 以及Feature行构成。 注释行:当一行的开始是"#"符号时,该行被License Manager(lmgr32xx)认为是注释行,有时候"|"也可以作为注释行的开始。 Server行:Server行用来标识一台特定的主机,其格式是:: SERVER nodename id port-number TCP端口号,如 1700 ID号,Win95/98/NT平台下通常是网卡物理地址 下可以用ipconfig命令看到 Server行开头的关键字,标识该行为Server行,不能更改. 例如:SERVER hostname 000012345678 TCP:1700 Daemon(或Vendor)行:Deamon行是用来标识不同的卖主,或者说是用来标识不同的软件商吧。其常见格式是: daemon的路径 的名字 行的关键字,FLEXlm6.0以后的版本也支持用VENDOR 例如: Cadence License Daemon的路径 的名字为cdslmd (Cadence License Manager Daemon 的简称) Feature行: Feature行是用来对软件特定的功能进行限制的,其常见的格式为: 版本号ID,可省略 厂商标识 Feature名称个字节的密码 Feature行的关键字许可的终端用户个数

刻录光盘具体步骤

刻录光盘的具体步骤,详见以下: 用Nero轻松刻录光盘 Nero Burning ROM (以下简称Nero)是一款非常优秀的刻录软件,不仅操作简单,功能也非常强大,是刚接触光盘刻录用户的首选刻录软件。 软件基本信息软件名称:Nero Burning ROM 最新版本:5.5.8.2 软件大小:11.4MB 软件性质:共享软件 适用平台:Wind 9X/Me/2000/XP 下载网址:https://www.360docs.net/doc/5315358797.html,/eng/index.html 先安装英文版软件,接着将中文包解压缩到Nero的安装目录下载后,即完成了中文包的安装。 一、刻录普通数据光盘 1.选择光盘类型 将需要刻录的文件拷贝到一个新文件夹中(如临时刻录文件夹),将刻录盘(CD-R 或CD-RW)插入刻录机。启动Nero,首先出现的是'Nero向导”。 我们选择“编辑新的光盘”。然后按照提示依次选择“数据光盘→编辑新的数据光盘”,此时Nero向导提示我们点击“完成”进入主界面。 提示:建议刻录前对C盘与D盘进行一次磁盘检查与整理的操作,并用杀毒软件对系统进行扫描。 2.编辑刻录文件 Nero的主界面除了标题栏、菜单栏、工具栏之外,中间那两个类似资源管理器的窗口便是工作区——左边的窗口是刻录窗口,右边的窗口是“文件浏览器”,只要在该窗口中找到要刻录的文件,然后拖放到左边的刻录窗口,便完成了刻录光盘的编辑工作。

以刻录D盘“临时刻录文件夹”中的数据为例,首先我们在“文件浏览器”中展开D 盘,选中“临时刻录文件夹”,此时“文件浏览器”右边的窗口栏中便出现了该文件中所有的文件。 然后在“文件浏览器”中选中需要刻录的文件,按住鼠标左键不放,将其拖放到主界面最左边的那个“刻录窗口”中就行了。 提示:也可以在“文件浏览器”中右键单击要刻录的文件或文件夹,选择右键菜单中的“复制”,然后将鼠标移到“刻录窗口”,右键单击该窗口的空白区域,选择右键菜单中的“粘贴”。 当我们往“刻录窗口”添加文件时,主界面下面的信息栏还有一条变化的蓝色线条,它显示的就是当前“刻录窗口”中所有文件的总容量。 由于目前大部分刻录盘的容量都是650MB,所以信息栏的“650MB”处有一条黄色的标示线;不过市场上也有部分刻录盘的容量为700MB(普通刻录盘的极限容量),所以信息栏的“700MB”处有一条红色的警告线。 如何确定刻录盘的容量呢?首先可通过刻录盘的性能标示来确定,一般刻录盘都标有“650MB”或“700MB”等字样;另外也可通过Nero来检测:点击菜单栏中的“刻录机”,选择下拉菜单中的“光盘信息”(快捷键:Ctrl+I),很快就会弹出一个信息窗口。 3.正式刻录 编辑好刻录文件之后即可开始正式刻录:点击工具栏上的(刻录)按钮,或者点击“文件→写入光盘”,便进入了刻录界面。 Nero给了我们三个选择: 测试:由Nero对刻录进行模拟操作,以便检查待刻文件是否有数据错误; 测试并刻录:先对待刻文件进行模拟刻录,如没有错误的话则正式刻录; 刻录:不进行模拟刻录直接刻录。为保证成功刻录,建议选择“测试并刻录”。 提示:当Nero进行刻录时,一定得等到Nero弹出一个“刻录完毕”的信息窗口才能确认刻录完成,中途不要去按刻录机的退盘按钮。 二、刻录音乐CD光盘

FPGA入门实验教程 适合初学者

艾米电子工作室—让开发变得更简单https://www.360docs.net/doc/5315358797.html, FPGA 入门系列实验教程——点亮LED 1. 实验任务 点亮发光二极管。通过这个实验,熟悉并掌握CPLD/FPGA 开发软件Quartus II 的使用方法和开发流程以及Verilog HDL的编程方法。 2. 实验环境 硬件实验环境为艾米电子工作室型号EP2C5T144 开发套件。 软件实验环境为Quartus II 8.1 开发软件。 3. 实验原理 FPGA 器件同单片机一样,为用户提供了许多灵活的独立的输入/输出I/O 口 (单元)。FPGA 每个I/O 口可以配置为输入、输出、双向、集电极开路和三态门等各种组态。作为输出口时,FPGA 的I/O 口可以吸收最大为24mA 的电流, 可以直接驱动发光二极管LED 等器件。图1. 1 为8 个发光二极管硬件原理图, 本原理图对应艾米电子工作室型号为EP2C5T144 FPGA 开发板及接口板。通过 原理图可知如果要点亮这八个LED,所以只要正确分配并锁定引脚后,在相应 的引脚上输出相应高电平“1”,就可实现点亮该发光二极管的功能。本工作室开 发板均采用输出“1”点亮LED 的模式,以后就不再另作说明。 图1. 1 发光二极管LED 硬件原理图 艾米电子工作室—让开发变得更简单https://www.360docs.net/doc/5315358797.html, FPGA 入门系列实验教程——LED 闪烁灯 1. 实验任务 让实验板上的8 个LED 周期性的闪烁。通过这个实验,熟悉并掌握采用计数 与判断的方式来实现分频的Verilog HDL 的编程方法以及Quartus II 软件的使 用方法和开发流程。 2. 实验环境 硬件实验环境为艾米电子工作室型号EP2C8Q208 增强版开发套件。 软件实验环境为Quartus II 8.1 开发软件。 3. 实验原理 艾米电子工作室开发套件板载50MHz 的时钟源,假如我们直接把它输入到发光二极管LED,由于人眼的延迟性,我们将无法看到LED 闪烁,认为 它一直亮着。如果我们期望看到闪烁灯,就需要将时钟源的频率降低后再输

软件的下载和安装方法

软件的下载和安装方法目前,各个软件的安装主要分为试用版和破解版和绿色版,各个版本的安装方法如下: 1试用版 试用版软件就是指该软件有一定的使用期限。只能在固定的时间内使用,过了有效期,便不能再用或部分功能不能在使用。 还有一种试用版的软件,是在该软件的制作后期,为了测试软件的性能而免费提供给大家使用的,这种软件没有使用时间的限制,但是性能不是很稳定。试用版的安装方法和破解版的安装方法相同,在安装时不许要破解软件就能正常使用。 2破解版 破解版安装方法和软件的正式版(需要花钱购买)安装方法一样,只是在安装完成后需要对软件进行破解,让使用者在没有任何经济付出的条件下无限制的使用该软件的全部功能。安装破解版软件可以通过购买该软件的安装光碟,或者在网上下载该软件的安装程序,破解版中一般都有该软件的破解文件和大概的安装方法,破解文件一般装在一个名为“CRACK”的文件夹中。下面将以安装AutoCAD 2010软件为例,讲解破解版软件的安装方法。 2.1下载软件 在网上下载软件可以在专业的论坛中寻找下载地址,或者在迅雷、电驴中搜索该软件的下载地址。 AutoCAD 2010软件下载地址为: https://www.360docs.net/doc/5315358797.html,/ef/47549/753/2613753/AutoCAD_2010_Simplified_C hinese_MLD_Win_32bit.exe 2.2解压安装 安装程序下载好后,如果是.exe文件,只需双击该安装包,根据提示进行解压操作。如果是.RAR文件,则使用常规解压文件的方法将安装包解压。如果是.iso文件,则需使用虚拟光驱进行安装。运行虚拟光驱后将下载好的安装包加载到虚拟光驱中打开,具体加载方法与使用的虚拟光驱的不同而有所差异,现在提供一个常用的虚拟光驱下载地址:https://www.360docs.net/doc/5315358797.html,/soft/2345.html#downUrlMap 1.下载完成后,双击该下载文件进行解压。打开的界面如下图所示:

CPLD入门教程

目录 前言 (2) 第一章 MAX+plusII 软件和license的获得 (6) 第二章 MAX+plusII 软件的安装和license及驱动的设置 2.1 MAX+plusII 软件的安装 (7) 2.2 MAX+plusII 软件的license设置 (8) 2.3 MAX+plusII 软件的驱动设置 (12) 第三章用VHDL语言设计三人表决器 3.1打开MAX+plusII (22) 3.2新建VHDL文档 (23) 3.3输入设计文件 (24) 3.4保存文件 (25) 3.5检查编译 (27) 3.6创建symbol (32) 3.7波形防真 (33) 3.8下载验证 (40) 第四章用原理图输入的方式设计三人表决器 (47) 第五章用verilog-HDL语言设计三人表决器 (51)

前言 编写本入门教程(V1.2)的目点是为了让FPGA/CPLD初学者快速地入门。该教程的特点是通过基本概念讲解和实际的例子来让初学者迅速了解FPGA/CPLD。该教程是共享文件,可以复制、下载、转载,如果转载该文章请注明出处:https://www.360docs.net/doc/5315358797.html,;该教程未经许可,不得用于商业用途。 FPGA/CPLD是电子设计领域中最具活力和发展前途的一项技术,它的影响丝毫不亚于70年代单片机的发明和使用。 FPGA/CPLD的基本知识: 1.什么是FPGA/CPLD FPGA (Field Programmable Gate Array)是现场可编程门阵列,CPLD是复杂的可编程逻辑器件(Complex Programmable Logic Device)的简称,不同厂家有不同的称呼,Xilinx把SRAM工艺,要外挂配置用的EEPROM的PLD叫FPGA,把Flash工艺(类似EEPROM工艺),乘积项结构的PLD叫CPLD; Altera把自己的PLD产品MAX系列(EEPROM工艺),FLEX/ACEX/APEX系列(SRAM工艺)都叫作CPLD,即复杂PLD(Complex PLD),由于FLEX/ACEX/APEX系列也是SRAM工艺,要外挂配置用的EPROM,用法和Xilinx的FPGA一样,所以很多人把Altera的FELX/ACEX/APEX系列产品也叫做FPGA. 但由于FPGA和CPLD功能基本相同,只是工艺和实现形式不同,所以初学者可以不要详细区分,我们可以统称为FPGA/CPLD。 2.FPGA/CPLD的用途:

筑业软件安装步骤

筑业软件安装步骤 1、先把红色光盘放进电脑光驱。然后在“我的电脑”中打开光驱盘,找到“筑业工程资料软件”的文件夹,在里面有三个程序。筑业资料浙江版 10、0、0、109、exe(第一个安装);交底软件7-4、exe (第二个安装));资料库6- 17、exe(第三个安装)。依次安装好后。在桌面上会生成一个“筑业资料浙江版”的图标。三个程序全部安装好后。只会生成一个图标。“资料库”和“交底软件”安装好后内容是在“筑业资料浙江版”里面打开的。 2、安装好软件程序后。把红色光盘退出。放入紫色光盘。在里面找到资料软件的视频教学程序。右键打开。然后按照上面的提示步骤安装。安装好后在桌面上会生成资料软件视频教学程序的图标。可以打开视频教学先看一下软件的操作与功能 3、安装好程序后。插上橙色的加密锁。再运行桌面上“筑业资料浙江版”的图标,会提示新建工程向导,输入工程名称(工程名称随便你自己输)。然后点“新建”按钮。这样一个新工程就新建好了。就会进入到软件界面。进入软件会提示工程信息设置。你可以输入一些里面的信息。输好后点确定按钮即可。然后软件里面会显示所有的资料表格。把左侧的文件夹展开后。在里面找到你要的表格。双击“表格名称”或点右键出来“新建

表格”,然后点确定。在新建好的表格中填写信息。然后点打印或导出表格即可 4、一定要注意。每次使用必须先插好加密锁,灯亮后再打开软件。这样才是正式版。如果这个步骤搞错了就会提示学习版。重新按正确方法操作即可 5、电脑必须安装打印机后。表格才能预览打印。 6、软件没有电脑限制。在哪一台电脑上安装使用都可以。只要安装好程序插上锁即可使用。新建多少个工程也没有限制。一般的XP,vista,win7系统都兼容。注:软件加密锁坏了可以拿来换。但是丢了只能重新购买。用完最好拔下来收好。

ABLogix5000软件安装步骤

AB Logix5000软件安装步骤 安装软件步骤: 1、windows2000安装。 2、应用软件的安装。 系统软件安装步骤 windows2000的安装按正常步骤安装即可。对于其他设置没有特殊要求。然后安装RICHWIN。 应用软件安装步骤: AB系统软件安装,应先安装Linx,然后分别安装Logix5000、Rsview32等软件。 一、RSLINX的安装 RSLinx软件是下位机Logix5000与上位机Rsview32之间通讯用的软件。1.双击Setup.exe进入图1

图1 2.点击Install Rslinx项,进入图2 图2 3.点击next按钮,进入图3

图3 4.选择接受上述协议后,next按钮可选,点击next按钮,以后出现的窗口均点击next按钮,直到出现图4后点击install按钮进行安装

图4 5.安装过程中会出现图5界面,并伴有多个信息提示框,点击确定即可,系统自动安装直到成功。

图5 二、RSLinx的配置 完成RSLinx的安装后,还需要对硬件进行配置。只有进行正确的配置后,Logix5000与Rsview32才能进行正常的通讯,才能把数据采上来。 1双击RSLinx图标,弹出图1 图1 2配置硬件设备。选择菜单Communications下的Configure Drivers项,弹出(图2),在图2中选择合适的驱动类型(一般选择1784-PCIC(S) ControlNet Devices),这时,add new按钮有效,点击它后,通讯卡的状态便显示在下面窗口中;同时点击在窗口右侧的Configure按钮,对通讯卡进行配置。

相关文档
最新文档