(整理)数电实验报告之集成触发器

合集下载

数字电路实验报告触发器

数字电路实验报告触发器

一、实验目的1. 理解触发器的概念、原理和功能。

2. 掌握触发器的分类、结构和逻辑功能。

3. 通过实验,验证触发器的逻辑功能,加深对触发器原理的理解。

二、实验原理触发器是一种具有记忆功能的电路,可以存储1个二进制位的信息。

它有两个稳定的状态:SET(置位)和RESET(复位)。

触发器的基本结构是RS触发器,由两个与非门组成,其逻辑功能可用真值表表示。

触发器按触发方式可分为同步触发器和异步触发器;按逻辑功能可分为RS触发器、D触发器、JK触发器和T触发器等。

三、实验仪器与材料1. 74LS74双D触发器芯片2. 74LS02四2输入与非门芯片3. 74LS00四2输入或非门芯片4. 74LS20四2输入或门芯片5. 74LS32四2输入与门芯片6. 74LS86四2输入异或门芯片7. 74LS125八缓冲器芯片8. 74LS126八缓冲器芯片9. 电源10. 示波器11. 信号发生器12. 逻辑笔四、实验内容1. RS触发器实验(1)搭建RS触发器电路:将74LS74芯片的Q1端与Q2端连接,Q1端接与非门74LS02的输入端,Q2端接与非门74LS02的输入端。

将74LS02的输出端分别连接到74LS20的输入端和74LS32的输入端。

(2)观察RS触发器逻辑功能:通过逻辑笔观察Q1端和Q2端的输出状态,记录下不同输入端S和R的值。

(3)分析RS触发器逻辑功能:根据真值表分析RS触发器的逻辑功能,得出结论。

2. D触发器实验(1)搭建D触发器电路:将74LS74芯片的Q1端接与非门74LS02的输入端,Q2端接与非门74LS02的输入端。

将74LS02的输出端分别连接到74LS20的输入端和74LS32的输入端。

(2)观察D触发器逻辑功能:通过逻辑笔观察Q1端和Q2端的输出状态,记录下不同输入端D的值。

(3)分析D触发器逻辑功能:根据真值表分析D触发器的逻辑功能,得出结论。

3. JK触发器实验(1)搭建JK触发器电路:将74LS74芯片的Q1端接与非门74LS02的输入端,Q2端接与非门74LS02的输入端。

数电实验报告之集成触发器word精品文档8页

数电实验报告之集成触发器word精品文档8页

数字逻辑与数字系统设计实验报告——D、JK触发器与广告流水灯异步时序电路VHDL语言仿真学院电子工程学院班级卓越001012班学号 00101201一.实验目的1.了解集成触发器的工作原理。

2.对Quartus II 软件使用操作有初步的了解,能用该软件进行简单的VHDL语言编程与功能仿真3、掌握VHDL设计实体的基本结构及文字规则。

二.实验仪器1.计算机一台2.万用表一块3.直流稳压电源一台4.数字电路实验板一台(含cyclone—II FPGA芯片)5.数据下载线,JTAG连接线若干三.实验内容用VHDL代码输入的方法设计以下三个电路功能,并进行全程编译,执行功能和时序仿真。

1.用VHDL语言描述D触发器功能。

2.用VHDL语言描述JK触发器功能。

3.用VHDL语言描述以下功能:用双D触发器74LS74和与非门74LS00设计一个广告流水灯同步时序电路,广告流水灯有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态图如图5-11所示,图中¤表示灯亮,◎表示灯暗。

四.实验数据记录与处理1. D触发器1)VHDL语言library ieee;use ieee.std_logic_1164.all;entity Dflipflop isport(D,clock :in std_logic;Q :out std_logic);end Dflipflop;architecture behavior of Dflipflop isbeginProcess (clock)beginif clock'event and clock='1' thenQ<=D;end if;end process;end behavior;2)功能仿真建立波形文件,功能仿真结果如下:3)时序仿真建立波形文件,时序仿真结果如下:2.JK触发器1)VHDL语言LIBRARY ieee ;USE ieee.std_logic_1164.all ;ENTITY jkflipflop ISPORT ( Clock : IN STD_LOGIC ;J,K : IN STD_LOGIC ;Q : OUT STD_LOGIC) ;END jkflipflop ;ARCHITECTURE Behavior OF jkflipflop ISSIGNAL Q1: STD_LOGIC ;BEGINPROCESS ( Clock )BEGINIF Clock'EVENT AND Clock = '1' THENQ1 <= (J AND NOT Q1)OR(NOT K AND Q1);END IF ;Q <= Q1;END PROCESS ;END Behavior ;2)功能仿真建立波形文件,功能仿真结果如下3)时序仿真建立波形文件,时序仿真结果如下:3. 广告流水灯1)VHDL语言LIBRARY ieee ;USE ieee.std_logic_1164.all ;ENTITY fd2 ISPORT ( Clock : IN STD_LOGIC ;Q : OUT STD_LOGIC);END fd2 ;ARCHITECTURE Behavior OF fd2 ISSIGNAL D : STD_LOGIC ;BEGINPROCESS ( Clock )BEGINIF Clock'EVENT AND Clock = '1' THEND <= NOT D ;END IF ;Q <= D;END PROCESS ;END Behavior ;LIBRARY ieee ;USE ieee.std_logic_1164.all ;PACKAGE fd2_package ISCOMPONENT fd2PORT ( Clock : IN STD_LOGIC ;Q : OUT STD_LOGIC);END COMPONENT ;END fd2_package;LIBRARY ieee ;USE ieee.std_logic_1164.all ;LIBRARY work ;USE work.fd2_package.all;ENTITY fd4 ISPORT ( Clock : IN STD_LOGIC ;Q0,Q1 : OUT STD_LOGIC); END fd4 ;ARCHITECTURE Structure OF fd4 ISSIGNAL W : STD_LOGIC ;BEGINS0: fd2 PORT MAP( CLOCK, W );Q0 <= W;S1: fd2 PORT MAP( W, Q1 );END Structure ;LIBRARY ieee ;USE ieee.std_logic_1164.all ;PACKAGE fd4_package ISCOMPONENT fd4PORT ( Clock : IN STD_LOGIC ;Q0,Q1 : OUT STD_LOGIC);END COMPONENT ;END fd4_package;LIBRARY ieee ;USE ieee.std_logic_1164.all ;LIBRARY work ;USE work.fd4_package.all;ENTITY liushuideng ISPORT ( Clock : IN STD_LOGIC ;Q0,Q1 : OUT STD_LOGIC ;L : OUT STD_LOGIC_VECTOR(0 TO 3)); END liushuideng ;ARCHITECTURE Structure OF liushuideng ISSIGNAL W0,W1 : STD_LOGIC ;BEGINS0: fd4 PORT MAP( CLOCK, W0, W1 );Q0<= W0;Q1<= W1;L(0)<= (NOT W0) OR (NOT W1);L(1)<= W0 OR (NOT W1);L(2)<= (NOT W0) OR W1;L(3)<= W0 OR W1;END Structure ;2)功能仿真建立波形文件,功能仿真结果如下3)时序仿真建立波形文件,时序仿真结果如下:希望以上资料对你有所帮助,附励志名3条:1、积金遗于子孙,子孙未必能守;积书于子孙,子孙未必能读。

集成触发器实验报告

集成触发器实验报告

电子电路试验报告
姓名:专业:班级:学号:
一、试验名称
集成触发器功能及其应用。

二、试验目的
掌握用与非门组成的基本RS触发器的特征;掌握集成JK触发器、D触发器的逻辑功能和使用方法;熟悉各种触发器的应用。

三、试验任务
用74LS73设计一个异步四进制计数器,并用双踪示波器观察输入输出波形。

四、试验任务原理
第一步:建立原始状态表和状态图。

第二步:简化状态(实际是状态合并)
第三步:状态分配(即状态编码)
第四步:选择触发器,求激励方程和状态转移方程
第五步:检查电路是否具有自启动特性
五、实现试验的电路图及其结果
试验电路图:实现的是6进制的计数器。

波形图:
六、思考题
(1)为解决主从JK触发器的一次变化问题,对CP脉冲有何要求?
答:对CP的要求是宽度较窄的正脉冲,且在CP=1期间,输入信号J,K不发生变化
七、试验心得与体会
通过这次电子电路试验,我对触发器有了了解,触发器是一种具有记忆功能的电路,可作为二进制存储单元使用。

触发器有置位端和复位端,只有当它们同时为1的时候,触发器才能正常工作,否则进行复位、置位、维持的功能,这些是我在这次试验中所学到的。

实验五集成触发器

实验五集成触发器

Qn=1 说明
且每次测试时都要将
0→1
触发器异步清零或置1。
0 0 1→0
按照右表测试并记录结果。
(c)将J、K触发器
0
接成 T’触发器。
CP接1kHz连续脉冲;
1
通过示波器双踪观察
CP和Q的波形,
1
画图并分析结果。
0→1
1 1→0
0 0→1
1→0
1
0→1
1→0
实验五 触发器
4. 实验内容及要求
(2)测试双D触发器74LS74的逻辑功能。
Q
Q
1J C1 1K J CP K SD
74LSll2双JK触发器引脚排列及逻辑符号
实验五 触发器
实验五 触发器
(3)D触发器
可用作数字信号的寄存、移位寄存、分频和波形发生等。
Q n+1 = D
14 13 12 11 10 9 8
Vcc 2RD 2D CP SD 2Q 2Q
74LS74
Q
Q
C1 1D
(5)单脉冲发生器实验 (选做) 用74LS74双D型触发器,设计一个单发脉冲发生器的实验线路。要
求将频率为1Hz的信号脉冲和手控触发脉冲分别作为两个触发器的CP 脉冲输入。只要手控脉冲送出一个脉冲,该脉冲与手控触发脉冲的时 间长短无关。
实验五 触发器
试问:能实现单发脉冲输出的原理是什么?画出电路的输出时序波形图. 下图是用双JK触发器组成的单发脉冲发生器,以供设计时参考。
实验五 触发器
3. 实验原理 (1)基本RS触发器
Q & R
Q &
S
实验五 触发器
(2)JK触发器
常用作缓冲存储器、移位寄存器和计数器。 Qn+1 = JQn + KQn

数字电子技术实验五触发器及其应用(学生实验报告)

数字电子技术实验五触发器及其应用(学生实验报告)

数字电⼦技术实验五触发器及其应⽤(学⽣实验报告)实验三触发器及其应⽤1.实验⽬的(1) 掌握基本RS、JK、D和T触发器的逻辑功能(2) 掌握集成触发器的逻辑功能及使⽤⽅法(3) 熟悉触发器之间相互转换的⽅法2.实验设备与器件(1) +5V直流电源(2) 双踪⽰波器(3) 连续脉冲源(4) 单次脉冲源(5) 逻辑电平开关(6) 逻辑电平显⽰器(7) 74LS112(或CC4027);74LS00(或CC4011);74LS74(或CC4013)3.实验原理触发器具有 2 个稳定状态,⽤以表⽰逻辑状态“1”和“0”,在⼀定的外界信号作⽤下,可以从⼀个稳定状态翻转到另⼀个稳定状态,它是⼀个具有记忆功能的⼆进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。

(1) 基本RS触发器图4-5-1为由两个与⾮门交叉耦合构成的基本RS触发器,它是⽆时钟控制低电平直接触发的触发器。

基本RS触发器具有置0 、置1 和保持三种功能。

通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此种情况发⽣,表4-5-1为基本RS触发器的功能表。

基本RS触发器。

也可以⽤两个“或⾮门”组成,此时为⾼电平电平触发有效。

图4-5-1 基本RS触发器(2) JK触发器在输⼊信号为双端的情况下,JK触发器是功能完善、使⽤灵活和通⽤性较强的⼀种触发器。

本实验采⽤74LS112双JK触发器,是下降边沿触发的边沿触发器。

引脚功能及逻辑符号如图4-5-2所⽰。

JK触发器的状态⽅程为Q n+1=J Q n+K Q nJ和K是数据输⼊端,是触发器状态更新的依据,若J、K有两个或两个以上输⼊端时,组成“与”的关系。

Q与Q为两个互补输出端。

通常把 Q=0、Q=1的状态定为触发器0 状态;⽽把Q=1,Q=0定为 1 状态。

图4-5-2 74LS112双JK触发器引脚排列及逻辑符号下降沿触发JK触发器的功能如表4-5-2注:×— 任意态↓— ⾼到低电平跳变↑— 低到⾼电平跳变Q n (Q n )— 现态 Q n+1(Q n+1)— 次态φ— 不定态JK 触发器常被⽤作缓冲存储器,移位寄存器和计数器。

数电触发器_实验报告

数电触发器_实验报告

一、实验目的1. 理解数字电路中触发器的基本原理和功能。

2. 掌握基本RS触发器、D触发器、JK触发器的逻辑功能及其应用。

3. 学会使用数字电路实验设备,进行实验操作和数据分析。

二、实验原理触发器是数字电路中的基本单元,具有存储一位二进制信息的功能。

根据触发器的逻辑功能和工作原理,可分为基本RS触发器、D触发器、JK触发器等。

1. 基本RS触发器:由两个与非门组成,具有置位(S)和复位(R)功能,可实现二进制信息的存储。

2. D触发器:由基本RS触发器和传输门组成,具有数据(D)输入和时钟(CP)输入,实现数据在时钟上升沿或下降沿的传输。

3. JK触发器:由基本RS触发器和传输门组成,具有J、K输入和时钟(CP)输入,可实现数据保持、置位、复位和翻转功能。

三、实验仪器与设备1. 数字电路实验箱2. 74LS00、74LS74、74LS76等集成电路3. 双踪示波器4. 电源5. 连接线四、实验内容1. 基本RS触发器实验(1)搭建基本RS触发器电路,分析电路结构和工作原理。

(2)观察并记录基本RS触发器的置位、复位、保持和翻转功能。

2. D触发器实验(1)搭建D触发器电路,分析电路结构和工作原理。

(2)观察并记录D触发器的数据传输功能,分析时钟上升沿和下降沿对数据传输的影响。

3. JK触发器实验(1)搭建JK触发器电路,分析电路结构和工作原理。

(2)观察并记录JK触发器的数据保持、置位、复位和翻转功能。

4. 触发器应用实验(1)设计一个计数器电路,使用D触发器实现。

(2)观察并记录计数器电路的计数功能,分析计数脉冲和时钟信号的关系。

五、实验结果与分析1. 基本RS触发器实验实验结果显示,基本RS触发器具有置位、复位、保持和翻转功能。

在置位端输入高电平,触发器输出为1;在复位端输入高电平,触发器输出为0;在两个输入端同时输入高电平时,触发器处于不定状态。

2. D触发器实验实验结果显示,D触发器在时钟上升沿或下降沿输入数据,可以实现数据的传输。

集成触发器功能测试及转换_实验报告(1)

集成触发器功能测试及转换_实验报告(1)

深圳大学实验报告课程名称:数字电路实验项目名称:集成触发器功能测试及转换学院:专业:指导教师:报告人:学号:班级:实验时间:实验报告提交时间:教务处制一、实验目的:1.熟悉并掌握RS、D、JK触发器的构成,工作原理和功能测试方法;2.掌握不同逻辑功能触发器的相互转换;3.常我三态触发器和锁存器的功能及使用方法;4.学会触发器、三态触发器、锁存器的应用。

二、实验仪器:1.双踪示波器2.RXS-1B数字逻辑电路实验箱3.器件74LS74 双上升沿D触发器74LS76 双下降沿JK触发器三、实验任务:任务一:维持-阻塞型D触发器功能测试双上升沿触发维持-阻塞D触发器74LS74的引脚排列图如图3-19所示。

图中SD,RD端异步置1端,置0端(或称异步位置,复位端)。

CP为时钟脉冲端。

试按下面步骤做实验:1.分别在SD,RD端加低电平,观察并记录Q,Q端的状态。

注意:当SD,RD端同时加低电平时,输出将为高电平,但是此事如果SD,RD端再同时加高电平,对应的输出状态是不确定的。

2.令SD,RD端为高电平,D端分别接入高、低电平,用手动脉冲作为CP,观察并记录当CP为0-1时Q端状态。

3.当SD=RD=1,CP=0(或CP=1),改变D端信号,观察Q端的状态是否变化?整理上述实验室据,将结果填入表3-5中。

4.令SD=RD=1,将D和Q端相恋,CP加入连续脉冲,用双踪示波器观察并记录Q相对于CP的波形。

表3-5D触发器74LS74功能表SD RD CP D Q Q0 1 X X 011 0 X X 011 1 0 011 1 1 01任务二:下降沿J-K触发器功能测试双J-K下降沿触发器74LS76芯片的引脚排列图如图3-20所示。

自拟实验步骤,测试器功能并将结果填入表3-6中。

表3-6 双J-K触发器功能测试SD RD CP J K Q Q0 1 X X X X1 0 X X X X1 1 0 X 01 1 1 X 01 1 X 0 11 1 X 1 1若令J=K=1时,CP端加入连续脉冲,用双踪示波器观察Q-CP波形,并于D触发器D和Q 端相连时观察到的Q端波形相比较,有何异同点?任务三:触发器功能转换1.将D触发器和J-K触发器转换成T触发器,列出表达式,画出实验连接图;2.接入连续脉冲,观察各触发器CP及Q端波形,比较两者关系;3.自拟实验数据表并填写之。

集成触发器及其应用实验报告

集成触发器及其应用实验报告

实验题目集成触发器及其应用小组合作否一、实验目的1.掌握基本RS、D和JK触发器的逻辑功能及测试方法。

2.熟悉D和JK触发器的触发方法。

3.了解触发器之间的相互转换。

二.实验环境1.数字电路实验箱1个2.集成电路与非门74LS00 1片双D触发器74LS74 1片双JK触发器74LS112 1片三、实验内容与步骤1.验证RS触发器的了解功能:按图4.1用74LS00组成基本RS触发器,并在Q端和Q’端接两只发光二极管,输入端S和R分别接了解开关。

接通+5V电源,按表4.1的要求改变S和R的状态,观察输出端的状态,并将结果填入表4.1中。

图4.1 RS触发器电路图如下:S R Q Q*0 0 0 00 0 1 10 1 0 00 1 1 01 0 0 11 0 1 11 - 0 不定1 1 1 不定表4.1 RS触发器逻辑功能2.验证D触发器的了逻辑功能将74LS74的Rd、Sd、D连接到逻辑开关,CP端接单次脉冲,Q 端和Q’端分别接两只发光二极管,接通电源,按表4.2的要求,改变Rd、Sd、D和CP的状态。

在CP从0到1跳变时,观察输出端Q*的状态,将测试结果填入表4.2中。

电路图如下:D Q Q*0 0 00 1 01 0 11 1 1表4.2 D触发器的逻辑功能3.验证JK触发器的逻辑功能将74LS112的Rd、Sd、J和K连接到逻辑开关,Q和Q’端分别接两只发光二极管,CP端接单次脉冲接通电源,按表4.3的要求,改变Rd、Sd、J和K的状态。

在CP从0到1跳变时,观察输出端Q*的状态,将测试结果填入表4.3中。

电路图如下:J K Q Q*0 0 0 00 0 1 10 1 0 00 1 1 01 0 0 11 0 1 11 1 0 11 1 1 0表4.3 JK触发器的逻辑功能四、实验过程与分析触发器是基本的逻辑单元,它具有两个稳定状态,在一定的外加信号作用下可以由一种稳定状态转换为另一种稳定状态;无外加作用信号时,将维持原状态不变。

实验三 集成触发器

实验三  集成触发器

实验七集成触发器一、实验目的1、掌握基本RS、JK、D等常用触发器的逻辑功能及其测试方法;2、研究时钟脉冲的触发作用。

二、预习要求1、预习教材相关内容,了解触发器功能及时钟边沿。

2、确定实验线路连接,画出接线图,拟定实验必要的表格。

三、实验内容1.基本R-S触发器功能与非门(74LS00)按图连接成基本RS触发器,置位端S和复位端R接0/1开关,输出端Q和Q接LED。

改变输入端R、S的状态,测试并将测试结果填入下表中。

与RS触发器真值表比较。

2. J-K触发器逻辑功能测试:(1)测试异步复位端R D和异步置位端S D的功能。

74LS112触发器的S D、R D、J、K接0/1开关,输出端Q和Q接LED,CP接手动单脉冲源。

按下表要求,在R D、S D作用期间改变J、K、CP的状态,观察LED显示状态,测试并记录R D、S D对输出状态的控制作用。

(2)J-K触发器逻辑功能测试:改变J、K的状态,并用R D、S D端对触发器进行异步置位或复位(即设置现态Q n)。

按下表要求测试其逻辑功能并记录于表中。

(3)观察J-K触发器分频功能74LS112按下图接线,J、K接高电平(1),CP接2KHz连续脉冲源,R D、S D接高电平(1)。

用示波器同时观察并记录CP、Q端波形,验证2分频的功能。

接示波器CH2接示波器CH13. D触发器74LS74逻辑功能测试:(1)测试异步复位端R D和异步置位端S D的功能。

74LS74一个触发器的S D、R D、D接0/1开关,输出端Q和Q接LED,CP接手动单脉冲源。

按下表要求,在R D、S D作用期间改变D、CP的状态,观察LED显示状态,测试并记录R D、S D对输出状态的控制作用。

(2)D触发器逻辑功能测试:改变D的状态,并用R D、S D端对触发器进行异步置位或复位(即设置现态Q n)。

按下表要求测试其逻辑功能并记录于表中。

(3)观察D 触发器分频功能74LS74按下图接线,CP 接2KHz 连续脉冲源,R D 、S D 接高电平(1)。

数电实验触发器实验报告

数电实验触发器实验报告

数电实验触发器实验报告引言触发器是数字电路中常用的元件,它可以储存和控制输入信号的状态。

在数电实验中,我们进行了触发器的实验,旨在探究触发器的工作原理和应用。

实验目的本实验的目的是: 1. 了解触发器的基本概念和分类; 2. 掌握触发器的工作原理;3. 学会使用触发器设计和实现基本的时序电路。

实验材料和设备1.数字电路实验箱;2.7400四路二输入与非门芯片;3.7402四路二输入与非门芯片;4.7408四路二输入与门芯片;5.7432四路二输入或门芯片;6.74165八位平行装载输入八位并行输出移位存储器芯片;7.电路连接导线;8.示波器。

实验步骤实验一:SR触发器的应用1.将SR触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验二:JK触发器的应用1.将JK触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验三:D触发器的应用1.将D触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验四:T触发器的应用1.将T触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验五:时序电路的设计1.使用74LS165芯片进行时序电路的设计;2.根据设计要求,连接芯片及其他元件;3.调试硬件连接,确保电路连接无误;4.给予输入信号,观察时序电路的输出变化;5.记录观察结果。

实验结果与分析实验一:SR触发器的应用观察实验一中的SR触发器,当S=0,R=0时,输出保持不变。

当S=1,R=0时,输出为1。

当S=0,R=1时,输出为0。

当S=1,R=1时,输出无法确定,可能产生非正常状态。

数电实验报告 触发器

数电实验报告 触发器

数电实验报告触发器数电实验报告:触发器引言数电实验是电子信息类专业中非常重要的一门实践课程,通过实验可以加深对于数字电路原理的理解和应用。

本次实验的主题是触发器,触发器是数字电路中常见的重要元件,具有存储和放大信号的功能。

本文将对触发器的原理、分类和实验结果进行详细介绍和分析。

一、触发器的原理触发器是一种能够存储和放大信号的数字电路元件。

它由若干个门电路组成,可以在特定的输入条件下改变其输出状态,并且能够保持输出状态不变。

触发器的原理基于门电路的逻辑运算和存储功能,它的输入和输出可以分为两种状态:高电平(1)和低电平(0)。

触发器的工作原理可以简单描述为:当触发器的输入满足特定条件时,输出会发生变化,并且保持输出状态不变,直到下一次满足特定条件的输入到来。

触发器的输出状态可以用状态表或状态图来描述,其中包括输入和输出的各种组合情况。

二、触发器的分类触发器根据其内部结构和工作方式的不同,可以分为SR触发器、D触发器、JK 触发器和T触发器等多种类型。

下面将对其中几种常见的触发器进行简要介绍。

1. SR触发器SR触发器是最简单的一种触发器,它由两个相互反馈的与门和非门组成。

SR触发器有两个输入端S和R,一个输出端Q。

当S=0、R=1时,输出Q=0;当S=1、R=0时,输出Q=1;当S=0、R=0时,输出状态保持不变;当S=1、R=1时,输出状态不确定。

2. D触发器D触发器是一种常用的触发器,它具有单一输入端D和输出端Q。

D触发器的输出状态与输入D的电平保持一致,即当D=0时,Q=0;当D=1时,Q=1。

D 触发器可以用于存储和传输数据,在时序电路中起到重要的作用。

3. JK触发器JK触发器是一种综合性能较好的触发器,它由两个输入端J和K、一个时钟端CLK和一个输出端Q组成。

JK触发器的输出状态可以由J、K和CLK的不同组合来控制,具体规律可以通过真值表或状态图来描述。

JK触发器在时序电路中常用于频率分频、计数等应用。

(集成电路应用设计实验报告)触发器

(集成电路应用设计实验报告)触发器

触发器一、实验器材(设备、元器件):1,数字、模拟实验装置(1台); 2,数字电路实验板(1块);3,74LS10、74LS00、74LS153、74LS74、74LS76芯片; 4,双踪示波器(1台); 5,函数信号发生器(1台)。

二、实验内容及目的:1,学习触发器逻辑功能的测试方法; 2,掌握集成触发器的逻辑功能;3,学习J —K 触发器和D 触发器的功能测试。

三、实验步骤:1、设计一个三人表决器(用74LS10和74LS00实现)74LS00是集成了四个单元的三输入端、一个输出端口的与非门,74LS10是集成了三个单元的三输入端、一个输出端口的与非门。

由三人表决器真值表得出其输出表达式为:CA BC AB ∙∙。

故右用74LS10和74LS00实现三人表决器连接。

2,设计一个三人表决器(用74LS153实现)74LS153是集成了两个单元的四选一数据选择器。

对三人表决器的表达式为ABC C AB C B A BC A F +++=,分析表达式知该逻辑函数含有三个逻辑变量,可选其中的两个(A ,B )作为数据选择器的地址输入变量,一个(C )作为数据输出变量。

则3210ABD D B A BD A D B A Y +++=,将逻辑函数F 整理后与Y 比较可得:1,,,03210====D C D C D D 。

故可实现用74LS153完成三人表决器。

3,基本R —S 触发器功能测试基本R —S 触发器是由两个与非门交叉耦合组成,当1==D D S R 时,两个与非门的工作都尤如非门,Q 接至与非门2G 的输入,使2G 输出为Q ;Q 接至与非门1G 的输入,使1G 输出为Q 。

故实验时用74LS00搭出R —S 触发器电路,R 、S 分别接逻辑开关,Q 、Q 分别接LED 灯,按其功能真值表验证R —S 触发器的功能。

4,用74LS10实现三人抢答器的设计根据三个抢答器的原理及R —S 触发器原理,及74LS10是集合了三个单元的三输入与非门,故可将三个与非门的一个输入作为三人抢答器的输入,三个与非门的其余两个输入端连接另外两个与非门的输出端,三个与非门输出端作为三个抢答器的输出。

数电实验5(触发器)

数电实验5(触发器)
4
①异步置位和复位功能测试:
在芯片上任选一D触发器, 将置0端 Rd和置1端 Sd 分别接数字电路实验箱的两 个“逻辑电平输出开关”; CP和D端处于任意电平,输 出端Q、Q分别接数字电路实 验箱的两个“逻辑电平显示 二极管”。
VCC 2Rd 2D 2CP 2Sd 2Q 2Q
14 13 12 11 10 9 8 74LS74
电平),然后使D为“1”,重复上述过程。
8
表4-5-3
什么意 思?
D
0
1
1 11 1
1 11 1
CP
0
0
0 000
0 00 0
Qn+1
Q初始状态
Qn = 1
Qn = 0
注意:单正脉冲源的使用!
9
③ 接成T′触发器:
a. 将_D触发器的D端从逻辑开关上取下,再把D
端和 Q端相连,即转换为T′触发器,如图所示。
⑵逻辑功能测试。填表4-5-3
⑶接成T′触发器。填表4-5-4
选做:填表4-5-4
画出CP和Q及Q端的波形。
③ JK触发器
⑴选做:异步置位和复位功能测试。填表4-5-5
⑵逻辑功能测试。填表4-5-6
⑶选做: 将JK触发器结成计数态,用示波器双通道观
察并对应画出CP和Q与Q端的波形。
14
实验报告要求: 1. 整理各项实验结果。 2. 列出D、JK 、 T'触发器的特性方程, 画出状
a.先将触发器置0或置1(预置完成后 Rd 和 Sd均为高电
平),从CP端输入单正脉冲, 在表4-5-6所列J、K情
况下,观察并纪录输出端Q的逻辑状态。
表4-5-6
11
11
11

数字电路触发器实验报告

数字电路触发器实验报告

一、实验目的1. 理解触发器的原理和功能。

2. 掌握触发器的电路组成和基本工作原理。

3. 学习触发器在数字电路中的应用。

4. 提高实验操作能力和分析问题的能力。

二、实验原理触发器是一种具有记忆功能的数字电路,它能够保存一个二进制状态。

触发器的基本类型有RS触发器、JK触发器、D触发器等。

本实验以RS触发器为例,介绍触发器的原理和功能。

RS触发器由两个与非门组成,其中S为置位端,R为复位端,Q为输出端,Q'为输出端的反相端。

当S=0,R=1时,触发器被置位,Q=1,Q'=0;当S=1,R=0时,触发器被复位,Q=0,Q'=1;当S=0,R=0时,触发器保持原状态;当S=1,R=1时,触发器处于不定状态。

三、实验仪器与设备1. 数字电路实验箱2. 74LS00集成电路(与非门)3. 逻辑电平开关4. 逻辑电平显示器5. 连接线四、实验步骤1. 连接电路根据实验原理图,将两个与非门连接起来,构成RS触发器。

具体连接方式如下:(1)将与非门的输入端A1、A2分别连接到逻辑电平开关;(2)将与非门的输出端Y1、Y2分别连接到逻辑电平显示器;(3)将与非门的输出端Y1连接到与非门的输入端B1,将与非门的输出端Y2连接到与非门的输入端B2。

2. 观察触发器状态(1)打开电源,将S端置为0,R端置为1,观察Q和Q'端的状态,记录下来;(2)将S端置为1,R端置为0,观察Q和Q'端的状态,记录下来;(3)将S端置为0,R端置为0,观察Q和Q'端的状态,记录下来;(4)将S端置为1,R端置为1,观察Q和Q'端的状态,记录下来。

3. 分析实验结果根据实验步骤观察到的触发器状态,分析触发器在不同输入下的工作原理,验证触发器的功能。

五、实验结果与分析1. 观察到当S=0,R=1时,触发器被置位,Q=1,Q'=0;2. 观察到当S=1,R=0时,触发器被复位,Q=0,Q'=1;3. 观察到当S=0,R=0时,触发器保持原状态;4. 观察到当S=1,R=1时,触发器处于不定状态。

数字电路实验报告集成触发器及应用

数字电路实验报告集成触发器及应用

姓名:xxxxxxxxxxxxxxx学号:xxxxxxxxxx .学院:计算机与电子信息学院专业:计算机类.班级:xxxxxxxxxxxxxxxxxx时间:2019年10月18 日.指导教师:xxxxxxxx .实验名称:集成触发器及应用.一、实验目的1、掌握RS、JK、D触发器的基本逻辑功能测试方法;2、掌握时序电路的设计;二、实验原理触发器是构成时序电路的基本逻辑单元。

它具有两个稳定状态,即“0”状态和“1”状态。

只有在触发信号作用下,才能从原来的稳定状态转变为新的稳定状态。

因此触发器是一种具有记忆功能的电路,可作为二进制存储单元使用。

触发器种类很多,按其功能可分为基本RS触发器、JK触发器、D触发器和T触发器等;按电路的触发方式又可分为电位触发器型、主从型、维阻型、边沿触发器型等。

基本RS触发器是各种触发器中最基本的组成部分,它能存贮一位二进制信息,但有一定约束条件。

例如用与非门组成的RS触发器的R'、S'不能同时为“0”,否则当R’、S’端的“0”电平同时撤销后,触发器的状态不定。

因此只R'=S'=0的情况不允许出现,也就是RS=0约束条件。

基本RS触发器的用途之一是作无抖动开关。

例如在图4-1所示的电路中,当开关S 接通时,由于机械开关在扳动的过程中,存在接触抖动,使得F点电压从+5V直接跃降到0V一瞬间(几十毫秒),会发生多次电压抖动,相当产生连续多个脉冲信号。

如果利用这种电路产生的信号去驱动数字电路,则可能导致电路发生误动作。

图4-1这在某些场合是绝对不允许的,为了消除机械开关的抖动,可在开关S与输入端A之间接入一个RS触发器(见图4-2所示),就能使F端产生很清晰的阶跃信号。

那么这种带RS触发器的开关通常称为无抖动开关(或称为逻辑开关)。

而把有抖动的开关称为数据开关。

图4-2TTL集成触发器主要有三种类型:锁存器、D触发器和JK触发器。

锁存器是电位型触发器。

数电实验三 RS触发器与集成触发器

数电实验三  RS触发器与集成触发器

3-1(a)3-1(b)实验三 RS 触发器与集成触发器一、实验目的1、掌握触发器的逻辑功能及其测试方法;2、学习触发器简单的典型应用。

二、实验器材1、直流稳压电源、数字逻辑电路实验箱、万用表、示波器;2、74LS00、74LS02、74LS04、74LS74、74LS76(或74LS112)。

三、实验原理1、基本RS 触发器用与非门(74LS00)构成的基本RS 触发器 如图3-1(a )所示,S R 、端为低电平有效; 用或非门(74LS02)构成的基本RS 触发器 如图3-1(b )所示,R 、S 端为高电平有效。

2、集成D 触发器触发器的复位和置位功能:只要L R =,不论其他输入是何种状态, 触发 器的输出立即强制变成H Q =,同时L Q =;只 要L S =,不论其他输入是何种状态触发器的输 出立即强制变成H Q =,同时L Q =。

复位和 置位完成后,必须使H R =和H S =。

3、JK 触发器当CP=0时,R=S=1,触发器维持原状态不变; 当CP=1时,Q K Q J Q n +=+1,即为 J=0,Q=0,Q Q n =+1; J=0,K=1,01=+n Q ; J=1,K=0,11=+n Q ; J=1,K=1,Q Q n =+1;四、实验内容和步骤根据电路图建立实验电路,利用RS 触发器产生脉冲信号接CP 端,分别将二分频电路 的Q0端和四分频电路的Q2端接LED ,每送入一个脉冲,记录下脉冲的序号和Q0端 和Q2端对应的状态变化。

二分频电路至少送入5个脉冲后停止,四分频电路至少送入 9个脉冲后停止。

整理结果,画出CP 脉冲信号和Q0输出信号的波形图。

1、二分频电路 a).PR ——置1端 b).CLR ——置0端c).0100Q D Q Q D n ===+;d).上升沿有效2、四分频电路a). 1111111111Q Q K Q J Q K J n =+===+;b).2121222212122Q Q Q Q Q K Q J Q Q K J n +=+===+;c).下降沿有效五、实验结果 1、二分频电路真值表 波形图2、四分频电路真值表波形图六、思考题1、基本RS 触发器的另一个典型应用是用来消除机械开关的抖动现象,如图所示,在不接入RS 触发器时,开关在ON/OFF 时由于触点的震动会产生信号的扰乱现象。

电子电工实验报告8集成触发器及应用

电子电工实验报告8集成触发器及应用

电工电子实验报告集成触发器及应用一、实验目的1.掌握集成触发器的逻辑功能。

2.熟悉用触发器构成计数器的方法。

3.掌握集成触发器的基本应用。

二、主要仪器设备及软件硬件:直流稳压电源,电工电子综合实验箱,函数信号发生器,示波器,笔记本电脑软件:NI Multisim 14三、实验原理(或设计过程)1.集成触发器的种类和特点触发器是组成时序逻辑电路的基本单元,集成触发器主要有3大类,锁存触发器、D触发器和JK触发器。

(1)D锁定触发器目前常使用的D锁存触发器有四锁定触发器74LS75,功能表如下锁定触发器具有以下三个特点:①锁定触发器不会出现不定状态,输入信号只需要一个,使用方便。

②锁定触发器在CP=“0”时,状态不因输入信号发生变化。

③锁定触发器是电平触发的触发器,在CP=“1”,D端状态不允许变化。

(2)维持堵塞D触发器维持阻塞D触发器克服了空翻现象,因而维持阻塞D触发器可以用来作计数器和位移寄存器。

(3)JK触发器①主从JK触发器目前主要的主从JK触发器74LS72单JK触发器和74LS112双JK触发器.②边沿JK触发器边沿触发器不仅可以克服空翻现象,而且仅仅在时钟CP的上升沿或下降沿才对输入信号起响应。

2.集成触发器的应用触发器在构成包含时间关系的数字电路中是必不可少的,它广泛用来构成计器、寄存器、移位寄存器,还可用来构成单稳、多谐等电路。

(1)二进制计数器触发器可以构成各种计数器。

每一个触发器都接成计数状态。

对D触发器,将其D端与Q非输出端相接就构成计数状态,因D触发器是上升沿触发,所以用它们构成二进制计数器时,应将每位Q非输出端与高一位CP端相连。

如图使用TTL集成D触发器和JK触发器构成的三位二进制计数器(2)并行累加器累加器适用于多个数相加求和的一种电路。

(3)堆成脉冲至对称脉冲的奇数分频四、实验电路图五、实验内容和实验结果用74LS74设计二位二进制加法计数器状态转移表:测试结果:六、实验小结通过这次实验,我们掌握集成触发器的逻辑功能,熟悉用触发器构成计数器的方法,掌握集成触发器的基本应用。

数电实验触发器实验报告

数电实验触发器实验报告

数电实验触发器实验报告一、实验目的本次实验的主要目的是通过设计和搭建触发器电路,掌握数字电路中触发器的工作原理和应用。

二、实验原理触发器是数字电路中重要的基本模块之一,它可以存储一个二进制数据位,并且在满足一定条件时自动改变输出状态。

常见的触发器有RS 触发器、D触发器、JK触发器和T触发器等。

在本次实验中,我们将主要学习D型触发器和JK型触发器。

其中D 型触发器是最简单的一种,它只有一个数据输入端(D),一个时钟输入端(CLK)和两个输出端(Q和Q’)。

当时钟信号CLK为高电平时,D型触发器会将输入信号D存储在内部,并将其输出到Q端;当CLK为低电平时,则保持原来的状态不变。

JK型触发器则相对复杂一些,在其内部有两个输入端J和K,一个时钟输入端CLK以及两个输出端Q和Q’。

当J=1、K=0且CLK为高电平时,JK型触发器会将Q置为1;当J=0、K=1且CLK为高电平时,则将Q置为0;当J=K=1且CLK为高电平时,则将Q取反(即从0变为1,或从1变为0);当J=K=0时,触发器保持原来的状态不变。

三、实验步骤1. 搭建D型触发器电路首先,我们需要准备以下元器件:- 74HC74 D型触发器芯片- 10kΩ电阻若干- LED灯若干- 杜邦线若干- 电源模块然后按照以下步骤进行搭建:(1)将74HC74芯片插入面包板中,并连接VCC和GND引脚到电源模块上。

(2)将D输入端连接到一个开关上,并通过一个10kΩ电阻连接到VCC上。

(3)将时钟输入CLK连接到另一个开关上,并通过一个10kΩ电阻连接到VCC上。

(4)将Q输出端接入LED灯并通过一个220Ω电阻限流,然后将LED的另一端接地。

(5)用杜邦线分别连接各个元器件,注意不要漏接或接错。

2. 搭建JK型触发器电路准备的元器件和工具与第一步相同,只是需要额外准备一个开关作为J、K输入端。

按照以下步骤进行搭建:(1)将74HC74芯片插入面包板中,并连接VCC和GND引脚到电源模块上。

数电实验五触发器实验报告

数电实验五触发器实验报告

数电实验五触发器实验报告一、实验目的二、实验原理三、实验器材四、实验步骤五、实验结果分析六、实验总结一、实验目的本次数电实验旨在通过触发器实验,加深学生对于触发器的理解和应用,掌握触发器的工作原理及其在电路中的应用。

二、实验原理1. 触发器概述触发器是一种存储器件,可以将输入信号转换成稳定的输出信号,并且能够记住先前输入过的状态。

触发器有两个稳态(高电平或低电平),并且只有在时钟信号到来时才会改变状态。

2. SR锁存器SR锁存器是最简单的触发器之一,由两个交叉耦合反相输出(NOR或NAND)门构成。

当S=1,R=0时,Q=1;当S=0,R=1时,Q=0;当S=R=0时,保持上一个状态不变。

但是SR锁存器存在一个致命缺陷——SET和RESET不能同时为1。

3. D锁存器D锁存器是由一个数据输入口和一个时钟输入口组成。

当D为1且时钟信号到来时,Q会被置为1;当D为0且时钟信号到来时,Q会被置为0。

D锁存器可以看做是SR锁存器的一种特殊情况,即S=D,R=not D。

4. JK锁存器JK锁存器是由J、K、时钟和输出端Q组成的。

当J=1,K=0时,Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q状态取反;当J=K=0时,保持上一个状态不变。

JK锁存器可以看做是SR锁存器的一种改进型。

5. T锁存器T锁存器是由T、时钟和输出端Q组成的。

当T为1且时钟信号到来时,Q状态取反;当T为0且时钟信号到来时,保持上一个状态不变。

T锁存器可以看做是JK锁存器的一种特殊情况,即J=T,K=not T。

三、实验器材本次实验所需材料如下:- 数字电路实验箱- 74LS73触发器芯片- 电源线、万用表等四、实验步骤1. 按照电路图连接74LS73芯片。

2. 打开电源并接通电路。

3. 分别将CLK输入高低电平,并记录输出结果。

4. 将D输入高低电平,并记录输出结果。

5. 将J、K输入高低电平,并记录输出结果。

6. 将T输入高低电平,并记录输出结果。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑与数字系统设计实验报告
——D、JK触发器与广告流水灯异步时序电路
VHDL语言仿真
学院电子工程学院
班级卓越001012班
学号00101201
姓名冉艳伟
实验时间2012.4.20
一.实验目的
1.了解集成触发器的工作原理。

2.对Quartus II 软件使用操作有初步的了解,能用该软件进行简单的VHDL语言编程与功能仿真
3、掌握VHDL设计实体的基本结构及文字规则。

二.实验仪器
1.计算机一台
2.万用表一块
3.直流稳压电源一台
4.数字电路实验板一台(含cyclone—II FPGA芯片)
5.数据下载线,JTAG连接线若干
三.实验内容
用VHDL代码输入的方法设计以下三个电路功能,并进行全程编译,执行功能和时序仿真。

1.用VHDL语言描述D触发器功能。

2.用VHDL语言描述JK触发器功能。

3.用VHDL语言描述以下功能:
用双D触发器74LS74和与非门74LS00设计一个广告流水灯同步时序电路,广告流水灯有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态图如图5-11所示,图中¤表示灯亮,◎表示灯暗。

四.实验数据记录与处理
1. D触发器
1)VHDL语言
library ieee;
use ieee.std_logic_1164.all;
entity Dflipflop is
port(D,clock :in std_logic;
Q :out std_logic);
end Dflipflop;
architecture behavior of Dflipflop is
begin
Process (clock)
begin
if clock'event and clock='1' then
Q<=D;
end if;
end process;
end behavior;
2)功能仿真
建立波形文件,功能仿真结果如下:
3)时序仿真
建立波形文件,时序仿真结果如下:
2.JK触发器
1)VHDL语言
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY jkflipflop IS
PORT ( Clock : IN STD_LOGIC ;
J,K : IN STD_LOGIC ;
Q : OUT STD_LOGIC) ;
END jkflipflop ;
ARCHITECTURE Behavior OF jkflipflop IS
SIGNAL Q1: STD_LOGIC ;
BEGIN
PROCESS ( Clock )
BEGIN
IF Clock'EVENT AND Clock = '1' THEN
Q1 <= (J AND NOT Q1)OR(NOT K AND Q1);
END IF ;
Q <= Q1;
END PROCESS ;
END Behavior ;
2)功能仿真
建立波形文件,功能仿真结果如下
3)时序仿真
建立波形文件,时序仿真结果如下:
3. 广告流水灯
1)VHDL语言
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
ENTITY fd2 IS
PORT ( Clock : IN STD_LOGIC ;
Q : OUT STD_LOGIC); END fd2 ;
ARCHITECTURE Behavior OF fd2 IS
SIGNAL D : STD_LOGIC ;
BEGIN
PROCESS ( Clock )
BEGIN
IF Clock'EVENT AND Clock = '1' THEN
D <= NOT D ;
END IF ;
Q <= D;
END PROCESS ;
END Behavior ;
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
PACKAGE fd2_package IS
COMPONENT fd2
PORT ( Clock : IN STD_LOGIC ;
Q : OUT STD_LOGIC);
END COMPONENT ;
END fd2_package;
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
LIBRARY work ;
USE work.fd2_package.all;
ENTITY fd4 IS
PORT ( Clock : IN STD_LOGIC ;
Q0,Q1 : OUT STD_LOGIC); END fd4 ;
ARCHITECTURE Structure OF fd4 IS
SIGNAL W : STD_LOGIC ;
BEGIN
S0: fd2 PORT MAP( CLOCK, W );
Q0 <= W;
S1: fd2 PORT MAP( W, Q1 );
END Structure ;
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
PACKAGE fd4_package IS
COMPONENT fd4
PORT ( Clock : IN STD_LOGIC ;
Q0,Q1 : OUT STD_LOGIC);
END COMPONENT ;
END fd4_package;
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
LIBRARY work ;
USE work.fd4_package.all;
ENTITY liushuideng IS
PORT ( Clock : IN STD_LOGIC ;
Q0,Q1 : OUT STD_LOGIC ;
L : OUT STD_LOGIC_VECTOR(0 TO 3)); END liushuideng ;
ARCHITECTURE Structure OF liushuideng IS SIGNAL W0,W1 : STD_LOGIC ;
BEGIN
S0: fd4 PORT MAP( CLOCK, W0, W1 );
Q0<= W0;
Q1<= W1;
L(0)<= (NOT W0) OR (NOT W1);
L(1)<= W0 OR (NOT W1);
L(2)<= (NOT W0) OR W1;
L(3)<= W0 OR W1;
END Structure ;
2)功能仿真
建立波形文件,功能仿真结果如下
3)时序仿真
建立波形文件,时序仿真结果如下:。

相关文档
最新文档