第6章-参数可设置LPM宏功能块应用PPT课件

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2021
参数设置
6
图6-1 数控分频器电路原理图 计数器计满1111时,由cout发出进位信号给并行加载控制信号sload, 使4位并行数据d[3..0]被加载到计数器中,此后计数器在d[3..0]基础上进 行加/减计数.
加法计数:分频比 R=“1111”-d[3..0]+1 CLK每进入R个脉冲,cout发出一个进位信号 减法计数:分频比 R=d[3..0]+1
80:00 ; 81:08 ; 82:16 ; 83:24 ; 84:32 ; 85:40 ; 86:48 ; 87:56 ; 88:64 ; 89:72;
90:00 ; 91:09 ; 92:18 ; 93:27 ; 94:36 ; 95:45 ; 96:54 ; 97:63 ; 98:72 ; 99:81;
模块功能、参数含义、使用方法可在帮助文件中查阅: help Megafunctions/LPM
2021
3
Altera提供的宏功能模块与LPM函数:
算术组件 组合组件 I/O组件 存储器编译器 存储组件
2021
4
6.1 LPM模块的原理图方式调用 LPM_COUNTER LPM_ROM
2021
6.1.1 基于LPM_COUNTER的数控分频器设计
END ;
2021
(2)用初始化存储器编辑窗口编辑.mif
13
完成电路设计后,打开仿真器窗口simulator,选择 Initialize菜单中Initialize Memery选项,在此窗口中完成地址/ 数据的编辑。然后按Export File将文件以.mif为后缀保存。
图6-6在Initialize Memory2窗02口1 中编辑乘法表地址/数据
00:00 ; 01:00 ; 02:00 ; 03:00 ; 04:00 ; 05:00 ; 06:00 ; 07:00 ; 08:00 ; 09:00;
10:00 ; 11:01 ; 12:02 ; 13:03 ; 14:04 ; 15:05 ; 16:06 ; 17:07 ; 18:08 ; 19:09;
文件编辑好,后以.mif为后缀保存文件
设定LPM—FILE的路径指向该文件名 2021
LPM_ROM中作为乘法表的数据文件rom_data.mif
12
WIDTH = 8 ;
DEPTH = 256 ;
ADDRESS_RADIX = HEX ;
DATA_RADIX = HEX ;
CONTENT BEGIN
20:00 ; 21:02 ; 22:04 ; 23:06 ; 24:08 ; 25:10 ; 26:12 ; 27:14 ; 28:16 ; 29:18;
30:00 ; 31:03 ; 32:06 ; 33:09 ; 34:12 ; 35:15 ; 36:18 ; 37:21 ; 38:24 ; 39:27;
6.1.2 基于LPM_ROM的4位乘法器设计
9
参数设置
图6-3用 LPM_ROM设计的 4位乘法器原理图
2021
ห้องสมุดไป่ตู้
10
图6-4 LPM_ROM2参021数设置窗口
ROM中配置数据(初始化数据)文件方法:
11
(1)用文本编辑器编辑mif文件
NEW\TEXT EDITOR FILE\ 文件关键词:
EDA
EDA技术实用教程
第6章 参数可设置LPM宏功能块应用
2021
1
2
参数可设置LPM宏功能块应用
LPM(Library of parameterized modules):参数可设置模块库
参数可设置模块使用方法:
LPM在mega-lpm库中,可通过图形或HDL模块形式调 用,设置或修改参数即可。
40:00 ; 41:04 ; 42:08 ; 43:12 ; 44:16 ; 45:20 ; 46:24 ; 47:28 ; 48:32 ; 49:36;
50:00 ; 51:05 ; 52:10 ; 53:15 ; 54:20 ; 55:25 ; 56:30 ; 57:35 ; 58:40 ; 59:45;
60:00 ; 61:06 ; 62:12 ; 63:18 ; 64:24 ; 65:30 ; 66:36 ; 67:42 ; 68:48 ; 69:54;
70:00 ; 71:07 ; 72:14 ; 73:21 ; 74:28 ; 75:35 ; 76:42 ; 77:49 ; 78:56 ; 79:63;
5
数控分频器的功能就是当在输入端给定不同输入数据时,将对 输入的时钟信号有不同的分频比
LPM_COUNTER模块参数含义和设置:
data[ ]:置入计数器的并行数据输入; clock:上升沿触发计数时钟输入。 clk_en:高电平使能所有同步操作输入信号; updown:计数器加减控制输入。 cin:最低进位输入 ; aclr:异步清0输入。 aset:异步置位输入; q[ ]:计数输出; sload:在clk的上升沿同步并行数据加载输入; cout:计数进位或借位输出。 LPM_WIDTH:计数器位宽; cnt_en:计数使能控制,
WIDTH :设置ROM数据宽度 DEPTH :设置ROM数据深度(数据的数量) ADDRESS_RADIX:地址的表达格式 DATA_RADIX:数据的表达格式
地址/数据表
以CONTENT BEGIN开始,以END结束; 地址/数据表达方法: 47:28 冒号左边为ROM地址值, 冒号右边为此地址中放置的数据
2021
7
数控分频器工作波形
LPM模块产生毛刺, 使输出产生错误
d[3..0]=12,R=4
4位加法计数:分频比 R=“1111”-d[3..0]+1 或 R=2n-d+1
2021
修改电路:
8
延时
d[3..0]=12,R=4
4位加法计数:分频比 R=“1111”-d[3..0]+1 或 R=2n-d+1 2021
14
图6-5 LPM_ROM构成的乘法器仿真波形
2021
15
6.2 LPM模块的VHDL文本方式调用
LPM模块可作为低层设计元件,用HDL文本方式调 用。在MAX+plusII中可调用LPM的HDL语言有AHDL、 VHDL、VerilogHDL。
LPM模块的VHDL文本方式调用方法:
相关文档
最新文档