译码器的设计

合集下载

7段数码显示译码器设计

7段数码显示译码器设计

7段数码显示译码器设计
设计一个7段数码显示译码器,主要功能是将4位二进制编码转换为用7段LED显示的十进制数。

具体设计过程如下:
1.确定电路的输入和输出:输入为4位二进制编码,输出为7段LED 显示的十进制数。

2.确定译码器类型:由于需要将二进制编码转换为十进制数,可以选用BCD-7段译码器或者十进制译码器作为基本器件。

3.确定电路原理图:根据所选的译码器类型,画出电路原理图。

在原理图中,需要连接一个4位二进制编码器到译码器的输入端,同时将译码器的输出连接到7段LED显示器的相应段。

4.电路连接和布线:将译码器和7段LED显示器连接到电源和接地线上,并将4位二进制编码器的输出连接到译码器的输入端。

5.电源和接地线:将电源和接地线正确连接到电路中,确保电路能够正确工作。

6.电路调试和测试:通过输入不同的4位二进制编码来测试电路的译码功能,确保译码器能够正确地将二进制编码转换为十进制数,并且在7段LED显示器上显示。

7.电路性能优化和改进:根据测试结果,对电路进行进一步的优化和改进。

可以考虑是否需要增加输入的保护电路,或者改进电源和接地线的布线方式来提高电路的性能。

总结:
以上是设计一个7段数码显示译码器的基本步骤,通过选择合适的译码器类型,正确连接电路和调试测试,可以实现4位二进制编码到十进制数的转换,并在7段LED显示器上显示。

在设计过程中,需要注意电路连接的正确性和稳定性,以及对电路的性能进行优化和改进。

译码器设计与应用(logisim源代码

译码器设计与应用(logisim源代码

译码器是一种数字逻辑电路,用于将给定的二进制代码转换为相应的输出信号。

在Logisim 中,可以使用内置的译码器组件来设计和应用译码器。

以下是一个简单的7线到4线译码器的设计和应用示例:打开Logisim并创建一个新的电路。

在工具栏中选择“7 to 4 Decoder”组件,并将其拖动到电路中。

将7个输入线(A-G)连接到该组件的相应输入端口。

将4个输出线(Y0-Y3)连接到该组件的相应输出端口。

根据需要添加其他电路组件(例如AND门、OR门、NOT门等),以便在译码器输出基础上进行进一步的处理。

运行电路并观察输出结果。

以下是一个简单的7线到4线译码器的Logisim源代码:library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity decoder isport (A : in std_logic_vector(2 downto 0);G : in std_logic;Y : out std_logic_vector(3 downto 0));end entity decoder;architecture arch of decoder isbeginprocess(A, G) begincase A iswhen "000" => Y <= "0000"; -- 0000when "001" => Y <= "0001"; -- 0001when "010" => Y <= "0010"; -- 0010when "011" => Y <= "0011"; -- 0011when "100" => Y <= "0100"; -- 0100when "101" => Y <= "0101"; -- 0101when "110" => Y <= "0110"; -- 0110when "111" => Y <= "0111"; -- 0111when others => Y <= "ZZZZ"; -- all outputs are high-impedanceend case;end process;end architecture arch;。

3-8译码器设计

3-8译码器设计

EDA实验报告
学院:专业:班级:
点击菜单项File->New Project Wizard 帮助新建工程。

参看图打开Wizard 之后,界面如2-3
5.选择设计所用器件。

由于本次实验没有使用开发板,故可先随意选择。

8.培养良好的文件布局。

Quartus II 默认把所有编译结果放在工程根目
2.2 使用VHDL完成硬件设计指定单独的编译结果文件目录
9.添加所需设计文件。

本次实验通过VHDL 来描述所设计的硬件,因此要添加VHDL 设计文件到工程文件中去。

74LS138 是常用的小规模集成电路芯片,用于译码。

它有
12.分析与综合。

点击菜单项Processing->start->Start Analysis &
13.全编译文件。

点击菜单项Processing->start compilation
15. Pins 菜单项执行之后,会出现一个引脚配置窗口。

参看图
为了将逻辑分配到 FPGA 外围引脚上,必须根据所用的 FPGA 型号配置输出引脚。

根据所提供的对应开发板指导手册,将 3-8 译码器的输入与输出分别配置到开发板的3 个选择开关(SW2,SW1,SW0)以及8 个LED (LEDR7-LEDR0)上。

16.全编译文件。

完成分配引脚后,点击菜单项Processing->start
18.之后的输出画面如图2-23 所示。

◆本实验指导结束Pins引脚配置窗口:。

EDA译码器课程设计

EDA译码器课程设计

EDA译码器课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念及其在数字电路设计中的应用;2. 掌握译码器的基本原理,了解其功能及在数字系统中的作用;3. 学会使用EDA工具进行译码器电路的设计与仿真。

技能目标:1. 能够运用所学知识,利用EDA工具绘制译码器的原理图;2. 培养动手实践能力,完成译码器电路的搭建与调试;3. 提高分析问题和解决问题的能力,通过对译码器电路的仿真,学会查找并解决电路故障。

情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发创新意识;2. 培养学生的团队协作精神,提高沟通与表达能力;3. 增强学生的自信心,培养勇于面对挑战的精神。

课程性质:本课程为电子技术实践课程,注重理论与实践相结合,以培养学生的实际操作能力为主。

学生特点:学生为高中年级,具备一定的电子技术基础知识,对实践操作有较高的兴趣。

教学要求:结合学生特点和课程性质,采用启发式教学,引导学生主动探究,注重培养学生的动手能力和实际问题解决能力。

在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。

二、教学内容1. EDA基本概念:介绍EDA的概念、发展历程及其在电子设计中的应用;参考教材章节:第一章 电子设计自动化概述2. 译码器原理:讲解译码器的工作原理、功能和应用;参考教材章节:第三章 数字电路基础,第四节 译码器与编码器3. EDA工具使用:教授如何使用EDA工具(如Multisim、Proteus等)进行译码器电路的原理图绘制与仿真;参考教材章节:第五章 电子设计自动化工具,第一节 原理图绘制与仿真4. 译码器电路设计:指导学生利用EDA工具设计简单的译码器电路;参考教材章节:第六章 数字电路设计实例,第二节 译码器电路设计5. 译码器电路搭建与调试:组织学生动手搭建译码器电路,进行调试与故障排查;参考教材章节:第七章 实践操作,第三节 数字电路搭建与调试6. 课程总结与评价:对所学内容进行总结,评估学生的学习成果;参考教材章节:第十章 课程总结与评价教学内容安排与进度:第1周:EDA基本概念学习;第2周:译码器原理学习;第3周:EDA工具使用教学;第4周:译码器电路设计与仿真;第5周:译码器电路搭建与调试;第6周:课程总结与评价。

3-8译码器设计

3-8译码器设计

《EDA技术》课程实验报告学生姓名:张叶所在班级:通信1301指导教师:黄科老师记分及评价:一、实验名称实验4:3-8译码器的设计二、任务及要求【基本部分】1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成3-8译码器的设计并进行时序仿真。

2、设计完成后生成一个元件,以供更高层次的设计调用。

3、实验箱上进行验证。

【发挥部分】设计4-8译码器,完成仿真并封装成一个元件;新建原理图,调用一片74161和所设计的4-8译码器,完成具有16种花样的循环LED灯控制器的设计,并在实验箱上进行验证。

三、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity ky isport(A :in std_logic_vector(2 downto 0);Y :out std_logic_vector(7 downto 0));end entity ky;architecture ky_a of ky isbeginprocess(A)begincase A iswhen "000" => Y<="11111110";when "001" => Y<="11111101";when "010" => Y<="11111011";when "011" => Y<="11110111";when "100" => Y<="11101111";when "101" => Y<="11011111";when "110" => Y<="10111111";when others => Y<="01111111"; end case;end process;end architecture ky_a;四、仿真及结果分析仿真结果五、硬件验证1、引脚锁定情况表:引脚情况锁定表六、小结在本次实验中,老师教我们用QuartusII软件设计并仿真了3-8译码器。

基于FPGA汉明码编译码器设计

基于FPGA汉明码编译码器设计

基于FPGA汉明码编译码器设计汉明码是一种能够检测和纠正错误的编码方式。

在FPGA(Field Programmable Gate Array)中,我们可以使用FPGA来设计并实现一个基于(7,4)汉明码的编码器和解码器。

1.编码器设计:编码器将4位数据编码为7位汉明码。

下面是一个基于FPGA的(7,4)汉明码编码器的设计步骤:-设置一个4位输入端口和一个7位输出端口。

-创建一个4×7的矩阵,用于存储所有可能输入与对应汉明码的关系。

每行代表一个输入,每列代表一个汉明码位。

-在FPGA中,使用逻辑门(如XOR门和AND门)来实现矩阵的功能。

根据矩阵,依次设计逻辑门电路来计算每个汉明码位。

例如,对于第一个汉明码位,使用四个输入位的异或门计算出结果。

-将每个汉明码位的结果输出到对应的输出端口。

2.解码器设计:解码器将7位汉明码解码为4位数据。

下面是一个基于FPGA的(7,4)汉明码解码器的设计步骤:-设置一个7位输入端口和一个4位输出端口。

-创建一个7×4的矩阵,用于存储所有可能的汉明码与对应的输出数据的关系。

每行代表一个汉明码,每列代表一个输出数据位。

-同样,使用逻辑门来实现矩阵的功能。

根据矩阵,依次设计逻辑门电路来计算每个输出数据位。

例如,对于第一个数据位,使用七个输入位的与门计算出结果。

-将每个输出数据位的结果输出到对应的输出端口。

3.性能分析和优化:可以通过FPGA的资源利用率和时钟频率等指标对设计进行性能评估。

通过仔细设计逻辑电路,合理分配资源和优化电路,可以提高编码器和解码器的性能。

可以考虑使用并行计算、流水线等技术来提高时钟频率和减少时延。

另外,还可以在FPGA中使用多个编码器和解码器来实现更高级的错误检测和纠正功能。

可以考虑使用更高级的汉明码,如(15,11)汉明码或(31,26)汉明码,来提高错误检测和纠正能力。

可以结合其他编码技术,如校验和,奇偶校验等,来增加冗余度和提高系统的可靠性。

二四译码器课程设计

二四译码器课程设计

二四译码器课程设计一、课程目标知识目标:1. 学生理解二进制与十进制的转换关系,掌握二进制编码的基本原理。

2. 学生掌握二四译码器的工作原理,能够正确绘制其逻辑电路图。

3. 学生能够解释二四译码器的功能,并描述其在数字电路中的应用。

技能目标:1. 学生能够运用所学知识,设计简单的二四译码器电路,并进行模拟。

2. 学生通过实际操作,提高解决实际问题的能力,培养动手能力和团队协作精神。

情感态度价值观目标:1. 学生对电子技术产生兴趣,培养对科学探索的热情和求知欲。

2. 学生在学习过程中,树立正确的价值观,认识到科技对社会发展的贡献。

3. 学生通过合作学习,培养尊重他人、团结协作的良好品质。

课程性质:本课程为电子技术基础课程,以实践操作为主,结合理论知识,培养学生的实际动手能力和逻辑思维能力。

学生特点:学生为初中二年级学生,对电子技术有一定的好奇心,具备基本的电路知识,动手能力强。

教学要求:课程要求将理论知识与实际操作相结合,注重培养学生的实际应用能力和团队协作能力,使学生在轻松愉快的氛围中掌握知识。

教学过程中,注重启发式教学,引导学生主动探究,提高学生的自主学习能力。

通过本课程的学习,期望学生能够达到上述课程目标,为后续学习电子技术打下坚实基础。

二、教学内容1. 二进制与十进制的转换方法及其在数字电路中的应用。

- 教材章节:第二章第二节- 内容:二进制与十进制的转换规则,二进制编码原理。

2. 二四译码器的工作原理与电路设计。

- 教材章节:第三章第四节- 内容:二四译码器的基本原理,逻辑电路图的绘制,真值表的应用。

3. 二四译码器的功能及其在数字电路中的应用案例。

- 教材章节:第三章第五节- 内容:二四译码器的功能描述,实际应用案例分析。

4. 实践操作:二四译码器电路的设计与模拟。

- 教材章节:实验教程第四章- 内容:学生分组进行二四译码器电路设计,使用模拟软件进行验证。

教学进度安排:第一课时:二进制与十进制的转换方法及其应用。

2-4译码器设计

2-4译码器设计
2-4译码器设计
在Logisim中,设计一个高电平有效2线-4线译码器,使能Enable高电平有效。相关知识
译码器(Decoder)将二进制代码的特定含义翻译出来,是一类多输入多输出组合逻辑器件,其可以分为:变量译码和显示译码两类。变量译码器一般是一种较少输入变为较多输出的器件,常见的有n线-2^n线译码和BCD码译码两类;显示译码器用来将二进制数转换成对应的七段码,一般其可分为驱动LED和驱动LCD两类。
计算机中通常有地址译码器、指令译码器等。2-4译码器的电路原理图如下:

EDA实验一38译码器设计

EDA实验一38译码器设计

《电子设计自动化》实验报告实验一实验名称:3-8译码器的设计专业及班级:姓名:学号:一、实验目的:1.掌握组合逻辑电路的设计方法。

2.掌握 VHDL 语言的基本构造及设计的输入方法。

3.掌握 VHDL 语言的基本描绘语句的使用方法。

二、实验步骤(附源代码及仿真结果图):1.成立工程, Quartus II -- project wizard (注意工程目录中不可以出现中文字符,不可以成立在桌面上);弹出窗口如图 2-3 所示。

图 2-3 New Project Wizard 窗口2.点击next,在出现的对话框中输入以下项目信息:a.项目路径,如: D:\EDA experiment\decoder38; b.项目名称,如: decoder38。

如图 2-4 所示:图 2-4 项目路径和项目名称对话框3.点击 2 次 next 后,出现如图 2-5 所示的对话框:a.Device family 中选择 Cyclone IV E;b.Available devices 中选择 EP4CE115F29C7.图 2-5器件选择窗口4.点击next后,出现EDA工具设置对话框。

在Simulation一行中, Tool Name 选择ModelSim-Altera , Fomat(s)选择 VHDL ,如图 2-6 所示。

图 2-6 EDA 工具设置对话框5.点击 next,出现如图 2-7 所示的对话框:图 2-7 新建项目汇总对话框6. 点击 Finish 后,出现如图2-8 所示的界面:图 2-8 decoder38 项目界面7.点击 File->New->VHDL File ,如图 2-9 所示。

点击 ok 封闭对话框。

图 2-9 新建 VHDL 文件窗口8.在文本编写框内键入以下程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38 ISPORT(A, B,C,G1,G2A,G2B: IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END decoder38;ARCHITECTURE Behavior OF decoder38 ISSIGNAL indata: STD_LOGIC_VECTOR(2 DOWNTO 0); BEGINindata <= C&B&A;PROCESS (indata, G1, G2A,G2B)BEGINIF (G1='1' AND G2A='0' AND G2B='0') THENCASE indata ISWHEN "000"=>Y<="11111110";WHEN "001"=>Y<="11111101";WHEN "010"=>Y<="11111011";WHEN "011"=>Y<="11110111";WHEN "100"=>Y<="11101111";WHEN "101"=>Y<="11011111";WHEN "110"=>Y<="10111111";WHEN "111"=>Y<="01111111";WHEN OTHERS =>Y<="XXXXXXXX";END CASE;ELSEY<="11111111";END IF;END PROCESS;END Behavior;9. 将文件保留为decoder38.vhd 后,开始编译,点击Processing->Start Compilation ,编译成功后,出现如图2-10 所示界面:图 2-10 编译成功界面10.再次新建一个 vhdl 文件,键入以下的 modelsim 测试程序:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decoder38_tb ISEND decoder38_tb;ARCHITECTURE Behavior OF decoder38_tb ISCOMPONENT decoder38PORT (A,B,C,G1,G2A,G2B: IN STD_LOGIC;Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END COMPONENT;SIGNAL A: STD_LOGIC:='0';SIGNAL B : STD_LOGIC:='0';SIGNAL C: STD_LOGIC:='0';SIGNAL G1: STD_LOGIC:='1';SIGNAL G2A: STD_LOGIC:='0';SIGNAL G2B: STD_LOGIC:='0';SIGNAL Y: STD_LOGIC_VECTOR(7 DOWNTO 0);CONSTANT CLK_PERIOD: TIME:=10ns;BEGINA<=not A after CLK_PERIOD;B<=not B after 20ns;C<=not C after 40ns;U1: decoder38 port map(A=>A,B=>B,C=>C,G1=>G1,G2A=>G2A,G2B=>G2B,Y=>Y);END behavior;11.将文件保留为 decoder38_tb.vhd ,编译经过。

组合逻辑3-8译码器的设计实验报告

组合逻辑3-8译码器的设计实验报告

计算机科学与信息技术学院
实验报告
学号:姓名:班级:
课程名称:EDA设计SOPC技术实验名称:组合逻辑3-8译码器的设计实验性质:○1综合性实验○2设计性试验○3验证性试验试验时间:实验地点:
本实验所用的设备:
实验报告:(包括:目的、方法、原理、结果或实验小结)。

一、实验目的
1、通过3—8译码器的设计,掌握组合逻辑电路的设计方法;
2、掌握组合逻辑电路的静态测试方法;
3、初步了解可编程器件设计的全过程。

二、实验步骤
1、打开QuartusII软件,建立工程,选择FPGA器件,如图所示:
2、设计输入及管脚分配如图所示:
3、连接实物图并对工程进行编译、下载,功能仿真结果如图所示:
三、实验小结
任课教师评语:
教师签字:年月日注:每学期至少又一次设计性试验。

每学期结束请任课教师按时按量统一交到教学秘书处。

译码器应用设计实验报告

译码器应用设计实验报告

译码器应用设计实验报告引言译码器(Decoder)是数字电路中常用的逻辑电路之一,它实现了将输入数字码转换成输出端口的控制信号。

译码器被广泛应用于数字系统中,如计算机、通信、测控等领域。

通常情况下,译码器基于真值表或卡诺图设计,可以根据输入的不同编码方式,输出相应的解码结果。

本实验主要介绍译码器的应用设计。

通过实验,我们将学会如何使用译码器来实现数字系统的控制和数据处理任务。

本实验所涉及的译码器有BCD-7段译码器、数值译码器、时序译码器以及存储器译码器等。

实验器材1. 逻辑计算器2. 示波器3. 数字电路实验箱4. 5V直流电源5. 译码器(BCD-7段译码器、数值译码器、时序译码器和存储器译码器)6. LED数码管实验原理1. BCD-7段译码器BCD-7段译码器是将4位BCD码转换成7段数码管显示的译码器。

8个BCD码,分别对应着数字0~9和字母A~F,输出接到控制7个LED数码管的段选端口和1个公共阴极的位选端口。

2. 数值译码器数值译码器是将4位二进制数转换成BCD码的译码器。

通过数值译码器,可以将数字的二进制编码转换成BCD编码,从而实现数字的BCD码显示。

译码器输出接LED数码管的输入端口。

时序译码器是根据不同状态的时序信号,将输入的二进制数码转换成对应的控制信号的译码器。

将时序信号和数码信号分别输入至译码器的两个输入端口,译码器将输出对应的动作信号。

常用于时序控制电路的设计中。

4. 存储器译码器存储器译码器是将存储芯片中的地址码转换成控制芯片的输入信号的译码器。

存储芯片中的地址码分别对应着芯片的不同存储单元,译码器将地址码转换成控制信号,使控制芯片可以正确访问存储芯片中的数据。

实验设计实验步骤:(1)将BCD码8个输入引脚分别接到译码器的8个输入端口上。

(4)将5V直流电源连接到译码器和LED数码管上。

实验结果:输入BCD码0000~1111时,LED数码管正确显示相应的数字0~9和字母A~F。

译码器与编码器的设计与仿真实验报告

译码器与编码器的设计与仿真实验报告

译码器与编码器的设计与仿真一、实验内容1、参照芯片74LS138的电路结构,用逻辑图与VHDL语言设计3—8译码器。

2、参照芯片74LS148的电路结构,用逻辑图与VHDL语言设计8—3优先编码器。

3、应用MaxplusII软件对译码器与编码器进行编译、仿真和模拟。

4、能更加熟练的掌握应用MaxplusII软件,从而更形象更深层次的理解译码器与编码器。

二、实验平台及实验方法用VHDL语言编写编码器与译码器的程序,运用MaxplusII 软件进行仿真,再结合FPGA(即对实验箱的芯片进行编译)进行验证。

也可以用原理图进行文本设计,波形设计。

三、实验过程1.启动MaxplusII软件;2.新建一个文本编辑文件,输入全加器的VHDL语言;3.编译。

点击file→save as,保存文件名为V74x148名称,扩展名为vhd,选择芯片类型为EPF10K20TI144-4,保存并进行编译,若编译结果出现0 error,0 warnings则说明编译通过。

4.仿真波形。

点Max+plus II→Waveform editor,出现波形图的设置界面,然后点Node→Enter Nodes from SNF→list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plusII→Simulator,即可仿真出输出的波形。

5.配置芯片。

点Max+plus II→Floorplan editor,将Unassigned Nodes & 栏中,电路的输入输出节点标号直接用鼠标“拖到” 想分配的引脚上,Max+plusII→programmer→configuer,然后就可以操作试验箱,观察全加器的工作情况。

四、实验结果实验步骤:1、用VHDL语言编写编码器的程序2、将上述程序保存为文件名为V74x148的文件,点击Maxplus里的compiler进行编译,点击start,如果出现0 error,0 warnings,则编译成功。

74LS153译码器组合逻辑电路设计案例分析

74LS153译码器组合逻辑电路设计案例分析

74LS153译码器组合逻辑电路设计案例分析74LS153是一个8-输入、4-输出译码器,常用于数字电路中的多路选择器和标识器等应用。

在设计任何电路之前,首先需要明确设计的功能和要求,并根据要求选择合适的元器件和逻辑门。

在设计74LS153译码器的组合逻辑电路时,需要考虑译码器的输入信号和输出信号之间的关系,以及适当的逻辑门的选择和连接。

设计目标:设计一个将8个输入信号(A0-A2,B0-B2)经过74LS153译码器进行解码,并输出4个选择信号(Y0-Y3)的组合逻辑电路。

设计要求:1.按照以下真值表设置输入信号和输出信号之间的关系:-A0-A2作为译码器的A输入端口-B0-B2作为译码器的B输入端口-输出信号Y0-Y3为译码器的输出端口2.根据译码器的逻辑功能表,确定输入信号和输出信号的关系,设计逻辑门的连接方式,并绘制电路图。

设计步骤:1.根据74LS153译码器的真值表确定输入和输出关系:-A0-A2:000-111,共8个输入信号-B0-B2:000-111,共8个输入信号-输出信号Y0-Y3:0000-1111,共16个输出信号2.根据真值表确定译码器的逻辑功能表,分别设计A和B输入信号的连接方式:-A输入信号的逻辑功能表表示为F(A)=Y0(A)+Y1(A)+Y2(A)+Y3(A)-B输入信号的逻辑功能表表示为F(B)=Y0(B)+Y1(B)+Y2(B)+Y3(B)3.根据逻辑功能表确定逻辑门的连接方式:-对于A输入信号,根据真值表可确定Y0(A)=1,Y1(A)=1,Y2(A)=1,Y3(A)=1,因此需要使用四个2输入的OR门连接-对于B输入信号,根据真值表可确定Y0(B)=1,Y1(B)=1,Y2(B)=1,Y3(B)=1,因此需要再使用四个2输入的OR门连接4.将A和B输入信号的连接方式和逻辑门的连接方式结合起来,绘制组合逻辑电路的电路图。

设计结果:最终的组合逻辑电路图如下所示:```A0-------\A1-------,----OR----Y0A2-------/B0-------\B1-------,----OR----Y1B2-------/A0-------\A1-------,----OR----Y2A2-------/B0-------\B1-------,----OR----Y3B2-------/```通过以上设计步骤,我们成功地设计了一个将8个输入信号通过74LS153译码器解码,并输出4个选择信号的组合逻辑电路。

数字电路——2-4译码器设计

数字电路——2-4译码器设计

目录1 绪论 (1)1.1设计背景 (1)2 电路分析 (2)2.1 2-4功能分析 (2)2.2 2-4译码器逻辑图 (3)3 系统建模与仿真 (4)3.1 建模 (4)3.2 仿真波形 (5)4 仿真结果分析 (7)5 小结与体会 (8)参考文献 (9)1 绪论1.1设计背景在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这种功能的电路称为码转化电路。

译码器就属于其中一种。

而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。

而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。

常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。

1.2 matlab简介MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。

它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。

它主要由MATLAB和Simulink两大部分组成。

本设计主要采用simulink进行设计与仿真。

Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。

在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。

Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。

同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。

实验三--3-8译码器的设计

实验三--3-8译码器的设计

实验三3-8译码器的设计
一.实验目的:
1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。

2、初步掌握VHDL语言的常用语句。

3、掌握VHDL语言的基本语句及文本输入的EDA设计方法。

二、设计要求
设计一个3—8译码器使其满足如下真值表:
1.采用原理图输入法利用门电路进行设计并实现仿真、下载。

2.利用VHDL语言输入进行设计并进行仿真。

三、预习要求:
1.熟悉3-8译码器原理
2.根据原理绘制原理图。

3.初步写出VHDL语言程序。

四、实验记录
1.原理图及源程序。

2.仿真波形。

(两种方法)
3.延时分析。

(两种方法)。

3-8译码器VHDL设计实验 实验报告

3-8译码器VHDL设计实验 实验报告

3-8译码器VHDL设计实验实验报告本实验以3-8译码器的设计为主要内容,通过编码器和译码器的学习,深入了解数字电路中常用的译码器,并掌握VHDL语言的应用技巧。

主要技术路线为:定义输入和输出端口->生成选择结构->设计三个MUX组合成8:1 MUX->仿真波形验证。

本实验虽然简单,但对数码管、数码显示器等电路的实现有很大的帮助作用。

一、实验目的1.了解译码器的作用及其应用2.掌握VHDL语言的的原理二、实验器材1.电脑2.EDA软件三、实验原理及过程1.定义输入和输出端口在VHDL设计中,首先要定义输入和输出端口。

根据输入和输出端口的设计,并将其定义到ENTITY中。

2.生成选择结构基于译码器的设计特点,输入是一个二进制数,输出是一个信号。

故可以采用CASE结构生成选择结构。

同时,由于译码器需要将一个二进制数译到一个信号,还需要一个PROCESS处理,将选择的结果赋值到输出信号上。

3.设计三个MUX组合成8:1 MUX通常情况下,8:1 MUX可以用一个MUX8191,但本实验旨在学习三个MUX组合成一个8:1 MUX的方法。

设计时要考虑如下事项:1)MUX中DP、EN、INV等控制信号的设置,由于多个控制信号互相独立,因此采用分别激活的方式;2)由于MUX的输出是显式定义的信号,不能使用临时变量。

因此,采用以下方法生成MUX输出处理:SIGNAL MUX_out : STD_LOGIC := '0';4.仿真波形验证经过以上步骤的设计,就可以进行仿真波形的验证。

经实验验证,设计正确。

其输出信号可以由输入端口激励生成。

四、实验内容1.阅读数据手册及规范表,画出流程图。

2.代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY decoder_3X8 ISPORT (--Define Input & Output SIGNALB : IN STD_LOGIC_VECTOR(2 DOWNTO 0);Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END decoder_3X8;ARCHITECTURE structural OF decoder_3X8 ISCOMPONENT mux4x1 ISPORT (D0 : IN STD_LOGIC;D1 : IN STD_LOGIC;D2 : IN STD_LOGIC;D3 : IN STD_LOGIC;S : IN STD_LOGIC_VECTOR(1 DOWNTO 0);Y : OUT STD_LOGIC);END COMPONENT;COMPONENT mux2x1 ISPORT (D0 : IN STD_LOGIC;D1 : IN STD_LOGIC;S : IN STD_LOGIC;Y : OUT STD_LOGIC);END COMPONENT;SIGNAL out1, out2, out3, out4, out5, out6, out7, out8 : STD_LOGIC;BEGINM1: mux2x1 PORT MAP (X => B(0), Y => out1, S => B(1));M2: mux4x1 PORT MAP (X0 => B(2), X1 => out1, X2 => ‘0’, X3 => ‘0’, S => B(1 DOWNTO 0), Y => out2);M3: mux4x1 PORT MAP (X0 => B(2), X1 => ‘0’, X2 => out1, X3 => ‘0’, S => B(1 DOWNTO 0), Y => out3);M4: mux4x1 PORT MAP (X0 => B(2), X1 => ‘0’, X2 => ‘0’, X3 => out1, S => B(1 DOWNTO 0), Y => out4);M5: mux2x1 PORT MAP (X => out2, Y => out5, S => B(2));M6: mux2x1 PORT MAP (X => out3, Y => out6, S => B(2));M7: mux2x1 PORT MAP (X => out4, Y => out7, S => B(2));M8: mux4x1 PORT MAP (X0 => out5, X1 => out6, X2 => out7, X3 => ‘0′, S => B(1 DOWNTO 0), Y => out8);Y <= out8;END structural;ARCHITECTURE rtl OF mux4x1 ISBEGINY <= D0 WHEN S = ‘00’ ELSED1 WHEN S = ‘01’ ELSED2 WHEN S = ‘10’ ELSED3 WHEN S = ‘11’ ELSE’0’;END ARCHITECTURE rtl;3.仿真波形验证:进入仿真 --> Add ----> Signals ----> 选择需要记录的信号 ----> Run ----> 波形记录。

EDA设计38译码器

EDA设计38译码器

3线-8线译码器
1.实验原理
译码是编码的逆过程,它的功能是将具有特定含义的二进制进行辨别,并转换成控制信号,具有译码功能的逻辑电路成为译码器。

如果有3个二进制选择线,则最多可译码转换成2的立方(8)个数据,这便是3线-8线译码器的原理。

2.程序设计
3线-8线译码器的电路符号如下
输入信号:3位二进制输入端a[2…0];3个使能端g1、g2、g3。

输出信号:编码输出端y[7…0]。

3线-8线译码器真值表
3.仿真实验
Verilog HDL代码如下:
module decoder3_8(y,a,g1,g2,g3);
output[7:0] y;
input[2:0] a;
input g1,g2,g3;
reg[7:0] y;
always@(a or g1 or g2 or g3)
begin
if(g1==0)y=8'b11111111;
else if(g2==1)y=8'b11111111;
else if(g3==1)y=8'b11111111;
else
begin
y=8'b00000001<<a;
y=~y;
end
end
endmodule
建立波形编辑文件进行功能仿真,仿真结果如下图所示
生成的RTL电路如下
实验总结。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

辽宁工业大学
数字系统综合实验课程设计(论文)题目:译码器设计
院(系):电子与信息工程学院
专业班级:
学号:
学生姓名:
指导教师:
教师职称:
起止时间:2011.12.12—2011.12.26
课程设计(论文)任务及评语
辽宁工业大学课程设计
目录
第1章译码器 (2)
1.1 译码器的介绍 (2)
1.2 译码器的功能 (2)
1.3设计要求 (2)
1.4 74138译码器 (2)
第2章采用分立元件设计译码器 (3)
2.1 设计原理 (3)
2.2 设计电路图 (5)
2.3 电路仿真波形图 (6)
第3章采用集成元件设计4-16译码器 (6)
3.1 设计原理 (6)
3.2 设计电路图 (7)
3.3 电路仿真波形图 (8)
第4章实物连接图 (8)
第5章总结 (11)
参考文献 (12)
第1章译码器
1.1 译码器的介绍
译码器是组合逻辑电路的一个重要的器件,其可以分为:变量译码和显示译码两类。

变量译码一般是一种较少输入变为较多输出的器件,一般分为2n译码和8421BCD 码一码两类。

显示译码主要解决二进制数显示成对应的十,或十六进制数的转换功能,一般其可分为驱动LED和驱动LCD两类。

根据需要,输出信号可以是脉冲,也可以是高电平或者低电平
1.2 译码器的功能
译码器(Decoder)的逻辑功能是将每个输入的二进制代码译成对应的输出高、低电平信号或另一个代码。

因此,译码器是编码器的反操作。

译码器的种类很多,但它们的工作原理和分析设计方法大同小异,其中二进制译码器、二-十进制译码器和显示译码器是三种最典型,使用十分广泛的译码电路。

1.3设计要求
分别采用分立元件设计方式和,设计一个4-16译码器,并用MAX+PLUSⅡ验证设计的正确性。

分立元件设计方式是用与非门和非门等基本元件逻辑组合的方式。

集成元件设计方式是用74138译码器的级联或用74154译码器等设计方式。

1.4 74138译码器
74138是用CMOS门电路组成的3线-8线译码器,其逻辑功能表如表1.1所示:
表1.1 74HC138逻辑功能真值表
74138有3个附加的控制端S1、S2’和S3’。

当S1=1、S2’+S3’=0时,Gs输出为高电平(S=1),译码器处于工作状态。

否则,译码器被禁止,所有输出被封锁在高电平,这三个控制端也成为“片选“输入端,利用片选的作用可以将多片连接起来以扩展译码器的功能。

第2章采用分立元件设计译码器
2.1 设计原理
根据74138译码器的组成的原理,用CMOS门电路组成4-16译码器。

其逻辑功能表为如表2.1。

由真值表可以看出,输出逻辑表达式为
Y0’= A’B’C’D’(2.1)Y1’= A’B’C’D (2.2)Y2’= A’B’CD’(2.3)Y3’= A’B’CD (2.4)Y4’= A’BC’D’(2.5)Y5’= A’BCD’(2.6)Y6’= A’BC’D (2.7)Y7’= A’BCD (2.8)Y8’= AB’C’D’(2.9)
Y9’= AB’CD’(2.10)
Y10’= A’BC’D (2.11)
Y11’= AB’CD (2.12)
Y12’= ABC’D’(2.13)
Y13’= ABCD’(2.14)
Y14’= ABC’D (2.15)
Y15’= ABCD (2.16)
因此,总电路设计采用与非门和非门的两种基本元件。

与非门的两个输入引脚连接到一个输入端口,作为使能端,作为整个电路工作开关。

因为要做的是4-16译码器,需要四个输入,并分别连接两个非门,使其在一条输入线上拥有不同的两种状态。

例如,当输入端口A输入为0时,第一个非门后输出为1,第二个非门后输出为0;反之当A输入1时,第一个非门后输出为0,第二个非门后输出为1,这样将与非门的其余输入引脚分别连接到输入端的不同状态口,就可以实现每个与非门对应翻译一个代码。

2.2 设计电路图
采用分立元件设计译码器如图2.1所示:
图2.1 CMOS门电路设计的4-16译码器
2.3 电路仿真波形图
令使能端S=1,输入A为最高位,输入D为最低位。

在第一个20ns,输入为0000,输出Y0=0,其余全为1;在第二个20ns,输入为0001,输出为Y1=0其余全为1;依次类推,在第十六个20ns,输入为1111,输出为Y15=0,其余全为1.电路仿真波形图如下图2.2。

图2.2 仿真波形图
第3章采用集成元件设计4-16译码器
3.1 设计原理
如图3.1,74138是一种3线-8线译码器,三个输入端CBA共有8种状态组合(000—111),可译出8个输出信号Y0—Y7。

这种译码器设有三个使能输入端,当G2AN 与G2BN均为0,且G1为1时,译码器处于工作状态,输出低电平。

当译码器被禁止时,输出高电平。

设计4-16译码器,需要两片74138译码器,并把他们级联。

74138只有三个输入端,
要想对4位二进制代码译码,只能利用一个附加控制端作为第四个输入端,并做最高位输入。

当D=0时前一片74138处于工作状态,而后一片处于禁止状态,所有输出(Y8——Y15)都处于高位。

这时的译码范围从0000到0111。

当D=1时后一片74138处于工作状态,而前一片处于禁止状态,所有输出(Y0——Y7)都处于高位。

这时的译码范围从1000到1111。

这样就完成了4-16的译码。

图3.1 74138译码器
3.2 设计电路图
采用集成元件设计的4-16译码器如图3.2所示:
图3.2 73HC138级联电路图
3.3 电路仿真波形图
输入D为最高位,输入A为最低位。

在第一个20ns,输入为0000,输出Y0=0,其余全为1;在第二个20ns,输入为0001,输出为Y1=0其余全为1;依次类推,在第十六个20ns,输入为1111,输出为Y15=0,其余全为1。

图3.3 仿真波形图
第4章实物连接图
由于两种设计的实物效果一样,故只做一组实物图。

图4.1是输入分别为0000——1111时的各种译码输出状态。

0000 0001
0010 0011
0100 0101
0110 0111
1000 1001
1010 1011
1100 1101
1110 1111
图4.1 译码输出结果图示
图4.2为实际连接电路图。

图4.2 实际线路连接
第5章总结
本次课程设计目的是设计一个译码器,需要采用两种方式设计。

第一种是采用分立元件设计,第二种是采用集成元件设计,并比较两种方式的结构。

第一种方法采用与非门与非门结合使用,利用同一输入线上非门两边不同的输出状态,4条输入线,搭配出16中不同的译码,实现0000——1111的译码功能。

这个电路的优点是原理简单,清晰易懂。

缺点是在实际电路创建的过程中,过于繁琐,线路连接过多。

第二种方法是用74138译码器的级联来实现4-16译码。

用两片74138译码器,并把它们级联。

将两片3线—8线译码器连接成4线—16线译码器后,其中第二片74138的使能端G1和第一片的使能端G2A接成D输入端。

当D=0时,第一片74138工作,对0000—0111的输入信号进行译码输出。

当D=1时,第二片74138工作,对1000—1111的输入信号进行译码输出。

这样就用两个3线-8线译码器扩展成一个4线-16线的译码器了。

根据设计原理再用MAX+plus软件设计译码器的电路图,并用它做仿真再用实验箱连接电路图。

观察连接电路的实验现象。

参考文献
[1]阎石.数字电子技术基础(第五版).高等教育出版社,2006年5月:174—178.
[2]高永强.数字电子技术(第1版).人民邮电出版社,2006年4月:98—103.
[3]宋卫.杨现德.数字电子技术.北京大学出版社,2010年3月:119—14.
[4]康华光.电子技术基础(数电部分).高等教育出版社,2006.5 90—118.
[5]黄智伟.李传琦基于Multisim电子电路计算机设计与分析.电子工业出版社,2006.7 45—86.
[6]李雷.集成电路应用实验.国防工业出版社,2004.1 67—93.
[7]科林.孙人杰 TTL.高速CMOS手册.电子工业出版社,2004.5 91—118.。

相关文档
最新文档