电子计数器

电子计数器
电子计数器

4.1 概述

本节要求:

(1) 了解时间频率的基本概念。

(2) 了解时频测量的优点和测量方法的分类。

(3) 了解电子计数器的分类及主要的技术指标要求。

4.1.1 时间、频率的基本概念

1.时间和频率的定义

“时间”的含义有两个:一个是指“时刻”,即某个事件何时发生;另一个是指“时间间隔”,即某个事件相对于一开始时刻持续了多久。

所谓频率就是指周期信号在单位时间(1秒)内变化的次数。如果在一定时间间隔 T 内周期信号重复变化了N 次,则其频率可表达为:

N f T

(4-1)

由于周期和频率呈现上式所示的关系,所以对周期(时间间隔)的测量可转化为对频率的测量,然后再取倒数即可。 2.时频测量的特点 ⑴. 测量精度高 在电子技术各参数中,频率测量的精确度是最高的

(10-14

),因而可以利用某种确定的函数关系把其他电参数的精确测量转换诚频率的测量。

⑵.应用范围广 现代科技所涉及的频率范围是极其宽广的,从百分之一赫兹甚至更低频率开始,一直到1012赫兹以上。因此电子学和其他领域的研究工作都离不开频率测量。

⑶.自动化程度高 时频测量极易实现数字化。电子计数器利用数字电路的各种逻辑功能很容易实现自动重复测量、自动选择量程、测量结果自动显示等。

⑷.测量速度快 由于数字式仪器实现了测量自动化,因此不但操作简便,而且大大加快了测量速度。 3.频率测量方法概述

频率的测量方法分类如图4-1所示

差频法 拍频法 示波法 电桥法 谐振法 比较法 直读法

李沙育图形法

测周期法

模拟法

频率测量方法

数字法

电容充放电法

电子计数器法

图4-1 频率测量方法

比较法是将被测频率与一个已知频率相比较,通过观察比较结果来获得被测信号频率值的一种方法,包括有:拍频法、差频法与示波法等。其中拍频法是将标准频率与被测频率叠加,通过指示器(耳机、电压表或示波器)来判别,适用于音频的测量。

差频法是将标准信号与被测信号进行混合以得到一个差频信号,通过放大后由仪表指示,适用于几十兆以上信号的测量。

计数法有电容充放电式及电子计数式两种。后者是用电子计数器显示单位时间内通过被测信号的周期个数来实现频率的测量,这时目前最好的方法。

4.1.2 电子计数器

1. 电子计数器的分类

电子计数器按照功能可以分为如下四类:

①.通用计数器 它可测量频率、频率比、周期、时间间隔、以及进行累加计数等。

②.频率计数器 是指专门用来测量高频和微波频率的计数器,其功能限于测频和计数,其测频范围往往很宽。

③.时间计数器 时间计数器是以时间测量为基础的计数器,其测时分

辨力和准确度都很高,已达皮秒(10-12

)的数量级。

④.特种计数器 包括可逆计数器、预置计数器、序列计数器、差值计数器等。

2. 主要技术指标

(1).测量范围 电子计数器按直接计数的最高频率max x f 分有:低速计数器 (max 10x Z f MH <),中速计数器(max 10~100x Z Z f MH MH =),

高速计数器(max 100x Z f MH >),微波计数器(max 1~80x Z f GH =或更高)。

(2).晶体振荡器的频率稳定度 一般为10-6~10-9

范围。

(3).输入特性 ①. 触发电平及极性 触发电平和极性共同决定了输入信号的触发点,要求触发电平有一定的调节范围,触发极性可选择。②.输入电压范围 能保证正常工作的最小输入电压称为输入灵敏度,大多为10mv ~100mv 范围。 思考题:

1. 解释时间与时刻的不同点。

2. 数字化测量的优点有哪些?

4.2 时间与频率标准

本节要求:

(1) 了解从天文时标到原子时标的发展过程。 (2) 了解石英晶体振荡器的构造和技术指标。

4.2.1 时间与频率的原始标准

1. 天文时标

时间和频率测量的一个重要特点就是:时间是一去不复返的。因此,寻找按严格相等的时间间隔重复出现的周期现象就成为制定时间和频率标准

的首要问题。

长期以来,人们把地球自转当作符合上述要求的频率源,把由地球自转确定的时间计量系统称为世界时。它满足了当时人们的需要。随后人们又制定了根据太阳来计量时间的计时系统,称为平太阳时系统。这种计时系统的精度比世界时有了大幅度的提高。

各地通过天文观测直接测定的世界时称为地方时,记做UT0。在UT0的基础上修正了地球极移的影响,产生了UT1;在UT1的基础上修正了季节性变化的影响,产生了UT2。它的稳定度比世界时提高了两个数量级,达到了±1×10-9量级。

1952年九月,国际天文学会第八次大会通过了历书时的正式定义。这种计时系统采用1900年1月1日0时(UT)起的回归年长度作为计量时间的单位,定义“秒是按1900年起始时的地球公转平均角速度计算出的一个回归年的31,556,925,974,7分之一”,称之为历书秒。它在1960年的第十一届计量大会上得到认可。

2.原子时标

天文时间标准具有一定的局限性。原子时是近年来建立起来并确定的一种新型计时系统,它利用原子从某种能量状态转变到另一种能量状态时,辐射或吸收的电磁波的频率作为标准频率来计量时间。它们受宏观世界的影响较小,因此频率准确度和稳定度都十分高,远远超过了天文标准。

1967年10月的第十届国际计量大会正式通过了秒的新定义:“秒是Cs133原子基态的两个超精细结构能级之间跃迁频率相应的射线束持续9,192,631,770个周期的时间”。这个定义已为全世界所接受,并且自1972年1月1日零时起,时间单位“秒”由天文秒改为原子秒。由于我们所说的时间包含着时刻和时段(时间间隔)双重概念,定义平太阳时和历书时的时候已考虑了时间的起点问题,因此,这两者都包含上述两个含义。而原子时只能提供准确的时间间隔。

4.2.2 石英晶体振荡器

目前,时间和频率的测量,之所以在所有物理量的测量中处于领先地位,主要因为频率是迄今为止复制得最准确(10-13量级)、保持得最稳定(10-14/星期)、而且测量得最准确的物理量。工作基准通常都用和一级标准相校准的晶体振荡器来担任。

1.组成

石英晶体有很高的机械稳定性和热稳定性。压电效应使得石英晶体高度稳定的机械振动可以直接控制电振荡,使电振荡频率也保持得非常稳定。图4-2所示的是高稳定度石英晶体振荡器的结构图。

图4-2 高稳定度晶体振荡器结构装置

由于系统漂移或老化现象,必须定期和高一级的频率源校准,通过微调频率以达到规定的准确度和稳定度。由于采用了高质量因数的泛音晶体、精密的恒温设备以及特别选定的电子器件的工作状态,目前,石英晶体老化率不

难做到10-8,较好的可达3×10-9/天~5×10-10/天,短期稳定度达2×10

10

/秒~5×10-11/秒。 思考题:

1.由原子时标能够确定时间吗?

2.为什么高稳定石英晶体振荡器需要加恒温装置?

4.3 频率和时间的测量原理

本节要求:

(1) 掌握模拟测量原理,包括谐振法、电桥法等。

(2) 掌握门控计数法的原理、通用计数器的组成框图。

4.3.1 模拟测量原理

频率和时间测量技术按工作原理可分为直接法和比较法两类。 1. 直接法

在某些电路中,输入被测频率f x 是电路和设备的已知参数a ,b ,c ,…等的确定的函数关系。进行测量时,利用各种有源和无源的频率比较设备和指示器来确定这种函数关系的具体形式,以获取被测信号的频率。谐振法和电桥法是其典型代表。 (1).谐振法 谐振法测频的基本原理如图4-4所示。改变可变电容器C ,使回路发生串联谐振时回路电流I 达到最大,如用与电容串联相接的电流表指示也将达到最大。被测频率用下式计算:

02x f f LC π==

(4-3)

图4-4谐振法测频原理

可预先绘制配用相应电感的f x ——C 曲线,或f x ——θ(θ为C 的旋转角度)曲线。测量时,调节标准电容使回路谐振,可从曲线上直接查出被测频率。 (2).电桥法 通常都采用图4-5所示的文氏电桥。

f x

M

L

I

C

012x f f LC

π==

这种电桥的平衡条件为:

令等式两端的实部和虚部分别相等,并取12R R R ==, 12C C C ==,则由

2f ωπ=

可得 1

2x f RC π=

借助R(或c)的调节,可使电桥对被测频率

x f 达到平衡(指示器指示最小),故可变电阻R (或可变电容c )上即可按

频率进行刻度。

2.比较法

比较法通过利用标准频率f s 和被测频率f x 进行比较来测量频率。其数学模型为:

x s f Nf =

(4-6)

利用比较法测量频率,其准确度主要取决于标准频率f s 的准确度,即判断式(4-6)存在的误差。拍频法、外差法、示波法以及计数法测频是这种测量法的典型代表。

电子计数器也是一种利用比较法进行测量的最常见、最基本的数字化仪器,是其他数字化仪器的基础。

4.3.2 数字测量原理

1. 门控计数法测量原理

门控计数法可理解为:在规定的时间内打开闸门,让信号进入计数电路做累加计数,在已知的标准时间内累计未知的待测输入信号的脉冲个数,就实现频率测量;在未知的待测的时间间隔内累计已知的标准时间脉冲个数,就实现周期或时间间隔的测量。其原理如图4-6所示。

图4-6主门电路

2. 通用计数器的基本组成

除主门、计数电路和数字显示器外,通用计数器还包括两个放大整形电路和一个门控双稳触发器。从A 通道输入频率为f A 的A 信号,经放大、整形变换为计数脉冲信号,接至闸门“1”端。从B 通道输入频率为f B 的B 信号,也经放大、整形变换为周期为T B 的矩形脉冲信号。这个矩形脉冲信号接至主门“2”端以触发门控双稳态触发器,使它输出一个宽度为T B 的门控时间脉冲信号(开门脉冲),控制闸门的开门时间。 思考题:

1.简述直接法的测量原理。

2.根据通用计数器的简化框图,如果闸门时间在0.1秒到1秒之间可调,计数器为8位的计数器,试计算此通用计数器可测量的最高频率和最低频率。

4.4.2 电子计数器的测量功能

通用电子计数器的基本功能是测量频率、周期、频率比、时间间隔和自检等。计数器输入通道所加信号的不同组合,决定了所实现的测量功能。 1. 频率测量

电子计数器按照式T

N

=

f 的定义进行频率测量.原理如图4-10所示,其对应点的工作波形如图4-11所示。在开门时间,被测信号通过闸门进入计数器计数并显示。若闸门开启时间为T c 和输入信号频率为f x ,则计数值为:

(4-7)

已知量。因此,只要得到计数器的计数值,就可以由(式4-7)得到被测信号的频率。

2.频率比的测量

频率比f A/f B测量的原理如图4-12所示。两个信号中频率较低的信号(周期大的)需要加到门控电路输入端作为开门信号。得到的读数即为两个频率的比值。

3.周期测量

原理如图4-13所示。被测信号T x从B输入端输入,经脉冲形成电路取出一个周期的方波信号,加到门控电路。若时标信号周期为T0,计数器读数为N,被测周期的表达式应为:

T x=N T0

需要注意的是:门控信号由被测信号经过整形获得,而被计数的信号则是标准的时基信号经过分频得到,其周期是已知的。通过时基信号在开门时间内的计数值就可由式(4-8)得到被测信号的周期。

4.时间间隔测量

时间的起始和停止脉冲经B和C两个输入通道,分别触发R-S触发器产生T X=T b-T c的闸门信号宽度。在时间间隔T X所形成的开门时间内,对A通道输入的时标信号进行计数,其计数值N为:

T X=NT0

通过选择两个输入通道的触发极性和触发电平可以完成两输入信号任意两点之间时间间隔的测量. 如果需要测量同一个输入信号的任意两点之间的时间间隔,可以把被测信号同时送入B、C通道,分别选取其触发电平和触发极性以产生开始和停止信号。通过测量两个正弦波形上两个相应点之间的时间间隔,根据信号的频率可以求得两个正弦信号的相位差。

5.自检

自检是确认仪器工作状态是否正常的自我检查,时基信号经过n级10分频后控制闸门的开启时间,对时基本身进行计数.因为闸门信号和被计数脉冲来自同一个信号源,所以在理论上不存在±1量化误差。因此每次测量值和分频比相一致则表明仪器工作正常。

思考题:

1.为什么标准时间单位为1ms、0.1ms、10us、1us、0.1us、10ns、1ns等,都呈现10的倍数关系?

2.区分对应于电子计数器的5种测量功能,其输入通道输入信号的差别。

3.试述测量脉冲宽度的方法。

计数器原理分析及应用实例

计数器原理分析及应用实例 除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(注意,有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(注意,有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。下面我们举两个例子。在这两个例子中,我们分别用同步十进制加法计数器74LS160构成一个六进制计数器和一个一百进制计数器。 因为六进制计数器的有效状态有六个,而十进制计数器的有效状态有十个,所以用十进制计数器构成六进制计数器时,我们只需保留十进制计数器的六个状态即可。74LS160的十个有效状态是BCD编码的,即0000、0001、0010、0011、0100、0101、0110、0111、1000、1001[图5-1]。 图5-1 我们保留哪六个状态呢?理论上,我们保留哪六个状态都行。然而,为了使电路最简单,保留哪六个状态还是有一点讲究的。一般情况下,我们总是保留0000和1001两个状态。因为74LS160从1001变化到0000时,将在进位输出端产生一个进位脉冲,所以我们保留了0000和1001这两个状态后,我们就可以利用74LS160的进位输出端作为六进制计数器的进位输出端了。于是,六进制计数器的状态循环可以是0000、0001、0010、0011、0100和1001,也可以是0000、0101、0110、0111、1000和1001。我们不妨采用0000、0001、0010、0011、0100

和1001这六个状态。 如何让74LS160从0100状态跳到1001状态呢?我们用一个混合逻辑与非门构成一个译码器[图5.3.37b],当74LS160的状态为0100时,与非门输出低电平,这个低电平使74LS160工作在预置数状态,当下一个时钟脉冲到来时,由于等于1001,74LS160就会预置成1001,从而我们实现了状态跳跃。 图5.3.37b用置数法将74160接成六进制计数器(置入1001) 比这个方案稍微繁琐一点的是利用74LS160的异步复位端。下面这个电路中[图5.3.34],也有一个由混合逻辑与非门构成的译码器。 图5.3.34用置零法将74LS160接成六进制计数器

通用计数器附其应用

第七章通用计数器及其应用 电子计数器是一种多功能的电子测量仪器。它利用电子学的方法测出一定时间内输入的脉冲数目,并将结果以数字形式显示出来。通常电子计数器按照它的功能可分为以下三类:1)通用计数器通常指多功能计数器。它可以用于测量频率、频率比、周期、时间间隔和累加计数等,如配以适当的插件,还可以测量相位、电压等电量。 2)频率计数器其功能为测频和计数。测频范围很宽,在高频和微波范围内的计数器均属于此类。 3)计算计数器带有微处理器、具有计算功能。它除具有计数器功能外,还能进行数学运算、求解比较复杂的方程式,能依靠程控进行测量、计算和显示等全部工作。 图7-1 通用电子计数器方框图 一、通用电子计数器的基本组成 电子计数器的基本组成原理方框图见图7-1。这是一种通用多功能电子计数器。电路由A、B输入通道、时基产生与变换单元、主门、控制单元、计数及显示单元等组成。电子计数器的基本功能是频率测量和时间测量,但测量频率和测量时间时,加到主门和控制单元的信号源不同,测量功能的转换由开关来操纵。累加计数时,加到控制单元的信号则由人工控制。至于计数器的其它测量功能,如频率比测量、周期测量等则是基本功能的扩展。(一)A、B输入通道 输入通道送出的信号,经过主门进入计数电路,它是计数电路的触发脉冲源。为了保证计数电路正确工作,要求该信号具有一定的波形、极性和适当的幅度,但输入被测信号的幅

度不同,波形也多种多样,必须利用输入通道对信号进行放大、整形,使其变换为符合主门要求的计数脉冲信号。输入通道共有两路。由于两个通道在测试中的作用不同,也各有其特点。 A 输入通道是计数脉冲信号的输入电路。其组成如图7-2(a )所示。 7-2 输入通道方框图 当测量频率时,计数脉冲是输入的被测信号经整形而得到的。当测量时间时,该信号是仪器内部晶振信号经倍频或分频后再经整形而得到的。究竟选用何种信号,由选通门的选通控制信号决定。 B 输入通道是闸门时间信号的通路,用于控制主门是否开通。该信号经整形后用来触发双稳态触发器,使其翻转。以一个脉冲启开主门,而以随后的一个脉冲关门。两脉冲的时间间隔为开门时间。在此期间,计数器对经过 A 通道的计数脉冲计数。为保证信号在一定的电平时触发,输入端可对输入信号电平进行连续调节。在施密特电路之后还接有倒相器,从而可任意选择所需要的触发脉冲极性。 有的通用计数器闸门时间信号通路有两路,分别称为B 、C 通道。两通道的电路结构完全相同。B 通道用来作门控双稳的“启动”通道,使双稳电路翻转;C 通道用作门控双稳“停止”通道,使其复原。两通道的输出经由或门电路加至门控双稳触发器的输入端。 (二)主门 主门又称信号门或闸门,对计数脉冲能否进入计数器起着闸门的作用。主门电路是一个标准的双输入逻辑门,如图7-3所示。它的一个输入端接入来自门控双稳触发器的门控信号,另一个输入端则接收计数用脉冲信号。在门控信号有效期间,计数脉冲允许通过此门进入计数器计数。 在测量频率时的门控信号为仪器内部的闸门时间选择电路送来的标准信号,在测量周期或时间时则是整形后的被测信号。 图7-3 主门电路

电子计数器误差

4.5 电子计数器的测量误差 本节要求: (1)掌握量化误差、触发误差、标准频率误差的概念及来源。 (2)掌握频率测量误差的组成及分析方法,并能用来解决实际问题。 (3)掌握周期测量误差的组成及分析方法,并能用来解决实际问题。 (4)掌握减小频率及周期测量中误差的方法。 4.5.1 测量误差的来源 1.量化误差 所谓量化误差就是指在进行频率的数字化测量时,被测量与标准单位不是正好为整数倍,因此在量化过程中有一部分时间零头没有被计算在内而造成的误差,再加之闸门开启和关闭的时间和被测信号不同步(随机的),使电子计数器出现±1误差。 2.触发误差 所谓触发误差就是指在门控脉冲在干扰信号的作用下使触发提前或滞后所带来的误差。 3.标准频率误差 标准频率误差是指由于电子计数器所采用的频率基准(如晶振等)受外界环境或自身结构性能等因素的影响产生漂移而给测量结果引入的误差。 4.5.2 频率测量误差分析 计数器直接测频的误差主要由两项组成:即±1量化误差和标准频率误差。一般,总误差可采用分项误差绝对值合成. (4-9) 1.量化误差 在测频时,由于闸门开启时间和被计数脉冲周期不成整数倍,在开始和结束时产生零头时间Δt1和Δ 由于Δt1和Δt2在0~T x之间任意取值,则可能有下列情况: ①当?t1=?t2时,?N=0 ②当?t1=0,?t2=T x时,?N=-1 ③当?t1=T x,?t2=0时,?N=+1

即最大计数误差为±1 (4-10) 2. 标准频率误差 所以:3.减小测频误差方法的分析 根据式4-9所表示的测频误差△f x /f x 与±1误差和标频误差△f c /f c 的关系,可画出如图4- 图4-15 计数器测频时的误差曲线 从图中可以看出:当在f x 一定时,增加闸门时间T s 可以提高测频分辨力和准确度。 当闸门时间一定时,输入信号频率f x 越高则测量准确度越高。在这种情况下,随着±1 误差减小到c c f f /?以下时,c c f f /?的影响不可忽略。这时,可以认为c c f f /?是计数器测频的准确度的极限。 【例4.1】 设f x =20MHz ,选闸门时间T s =0.1s ,则由于±1误差而产生的测频误差为: 若T s 增加为1s 10 倍。 1010 10

数字电路设计--------二十四进制计数器

数字电路设计 姓名:*** 学号:****************** 班级:电信111 专业:电子信息科学与技术 一.设计题目 二十四进制计数器的设计 二.设计要求 (1)要求学生掌握74系列的芯片和LED的原理和使用方法。 (2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。三.设计任务 (1)完成一个二十四进制的计数器。 (2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。23显示后,又从00重新开始计数。 四.设计思路与原理 (一)设计思路框图 →→→ → (二)LED简介 LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图(a)

所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。不加电压则变暗,为了保护各段LED不被损坏,需外加限流电阻。 其真值表如下:

(三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源 五.电路图仿真 二十四进制计数器电路仿真

六.心得体会 通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。

智能型高压断路器电子计数器

智能型高压断路器电子计数器 黄初指,苏东青 (泉州电业局,福建采州362000) 摘要:针对目前变电站断路器的使用情况进行分析,提出并设计出一种能有效评估断路器寿命及判断分,合闸情况的智能计数器,为智能变电站的建设提供基础数据依据。 关键词:断路器;智能计器;89S52;AD7705;RTL8019AS IntelligentHighVoltage HUANGChu-zhi,SHUDong-qing (QtmZIlouElectricPowerBureau,Quanzhou363(100,China) At玲traetTheusageofbreakerincurrentsubstationisanalyzed.Anintelligentcounteris删anddesigned,whichcaneffectivelyassess Ⅱlelifeandreliabilityofbreaker,estimateswitchingclosingandprovidebasicdataforconstructionofsubstation. Keywords:breaker;intelligentelectroniccounter;89S52;AD7705;RTL8019AS O引言 断路器的计数器显示并累计断路器的合闸次数,计数器的好坏是不会马上直接影响断路器的正常运行,所以计数器是否能准确计数没能引起重视,甚至坏了也不能象其他设备那样及时修理。但是随着数字化变电站的发展和智能电网的要求,智能断路器的数字准确采集是非常重要的,因为计数器不但要反映断路器的动作次数和动作情况,而且还要利用网络向上传输信息。而现在的计数器电气回路是接在合线圈的两端,计数器线圈接到来电后带动机械动作显示次数,由于机械部分经常出现卡涩故障,所以计数非常不准确。并且计数器只能计算电气合闸线圈的通电次数,机械脱扣(包括手动合闸)就无法计数。更重要的问题是跳闸回路没有安装计数器,从道理来讲有合闸就有跳闸,所以只需要计数合闸就可以知道跳闸次数,但是跳闸存在着电气跳闸和手动跳闸,由于老式的计数器无法反映监视断路器动作状态和参数,所以当发生故障跳闸时无法判断是电气控制动作还是机械脱扣。因此,原来断路器的计数器已经无法适应数字化变电站智能的运行要求。 作者简介:黄初指(1980.),全国技术能手,研究方向为计算机软件技术。. 苏东青(1954.),全国技术能手,研究方向为高压变电设备检修。 收稿时期:2010-05-241国内外断路器计数器现状 (1)西门子断路器计数器。 西门子公司断路器计数器电气回路如图1所示,计数器BN的电源接在断路器本体的辅助开关S1,断路器合闸后S1触点闭合计数器动作。 图1西门子断路器计数器 (2)ABB断路器计数器。 ABB公司断路器计数器电气回路如图2所示,计数器BN并接在断路器控制俞闸回路的线圈Y3,合闸时控制开关S3闭合,合闸线圈通电计数器通电动作。 Y3 图2ABB断路器计数器 (3)国产断路器计数器。 国产断路器计数器电气回路基本和图1一样也是接在断路器本体的辅助开关。 以上的计数器无法反映断路器的合闸全部情况,如图1所示的计数器动作信号是从断路器本体辅

电子计算器的使用

电子计算器的使用 目的:了解常用电子计算器的基本类型及功能,为自主选择处理统计资料的计算器,提供依据。掌握程序型计算器(fx-350MS)的使用,为分析统计资料打下基础。 一、电子计算器的类型和功能 电子计算器(electronic calculator)已广泛应用于各行各业,它与电子计算机相比具有操作简便、可随身携带等优点。 电子计算器从功能方面可分四型: (一)简易型:可作四则运算、平方、开平方运算、百分比运算、常数运算及存储器运算。 (二)函数型:除简易型的功能外,还具有函数运算功能,如:三角函数、对数、指数、阶乘、双曲函数及统计运算和相关运算等,如:fx-100型、fx-140型。

(三)程序型:除函数型功能外,还具有编写程序和运行程序的功能,如fx-180P、fx-501P等。 (四)复合型:除有计算功能外,还附加其他功能,如时钟、日历显示、报时、打印等,如fx-6100、fx-8100等。

二、基本操作方法和注意事项 计算器:开电源键“ON”;显示屏出现“O”。运算结束后关闭计算器:关电源键“SHIFT+OFF”;显示屏上所有内容消失。如果长期不使用,应把电池取出。使用和携带时,避免太强的振动。 (一)键盘及键功能 1.显示窗:位于上方,用于显示输入的数字,计算结果和中间结果。 2.控制开关(键): (1)电源开关:开关置于ON 则接通电源,反之则切断电源。 (2)AC键:计算器的电源开关置ON 侧,若停止操作5 分钟以后,电源会自动断开,此时只要按一下AC 键就可以接通,或者重复操作一次电源开关,但此时存储器内容会被消除。 3.功能键: (1 有内容,包括出错显示E。 (2

计数器及其应用

计数器的应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数器构成1/N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。 1、用D触发器构成异步二进制加/减计数器 图7—1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T触发器,在由低位触发器的Q端和高一位的CP端相连接。 若将图7—1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。

2、中规模同步集成计数器 同步集成计数器基本类型见表7-1。 表7-1 同步计数器芯片型号和功能 ⑴同步4位二进制计数器 74LS161的功能见表7-2,74LS163的功能见表7-3,引脚图见图7-2。LD 为置数控制端,CLR 为置0控制端, D 0~D 3为并行数据输入端,Q 0~Q 3为输出端,CO 为进位输出端。 ⑵4位十进制同步计数器 74LS160的功能见表7-4,引脚图见图7-2。74LS162的功能见表7-5,引脚图见图7-2。 表7-2 74LS161的功能表 输 入 输 出 CP LD CLR EP ET Q × × 0 × × 全“L ” ↑ 0 1 × × 预置数据 ↑ 1 1 1 1 计数 × 1 1 0 × 保持 × 1 1 × 保持 型号 功能 型号 功能 74LS161 4位十进制同步计数器(异步 清除) 74LS190 4位十进制加/减同步计数器 74LS163 4位二进制同步计数器(异步 清除) 74LS191 4位二进制加/减同步计数器 74LS160 4位十进制同步计数器(同步 清除) 74LS192 4位十进制加/减同步计数器(双时钟) 74LS162 4位二进制同步计数器(同步 清除) 74LS193 4位二进制加/减同步计数器(双时钟)

实验五 电子计数器的使用

实验五电子计数器的使用 网络学院14电子信息1.2 班实验日期2016 年月日 一、实验目的 1、通过本实验,能够大致了解计数器的原理,熟悉计数器面板上的开关和旋钮的作用。初步学会计数器的一般使用方法。 2、进一步理解计数器的工作原理。 3、熟练掌握计数器测量频率、周期、频率比的方法。 二、原理与说明 1、计数器是一种综合性的电信号特性测试仪。用它可以直接显示出电信号的脉冲数等。 2、信号发生器是产生各种波形的信号电源。常用的有正弦信号发生器、方波信号发生器、脉冲信号发生器等。信号电源的频率(周期)和输出辐值一般可以通过开关和旋钮加以调节。 三、仪器设备 1、计数器, 1台; 2、函数信号发生器, 1台; 四、任务与方法 1、熟悉计数器的各主要开关和旋钮的作用。 电子计数器测量频率、周期及时间间隔等的工作原理是相似的,所用主要部件也基本相同。因此,一般都制成通用仪器,使用这种通用仪器,可以很方便地测量信号的频率、周期、时间间隔、脉冲宽度、频率比等,若配置必要的插件,还可用来测量信号的相位、电压等。 如图1所示为SP100B型电子计数器图。 图1 电子计数器面板图 主要特点: (1)单片机控制 (2)等精度测量 (3)测量速度20次/秒 (4)高性价比,高可靠性

(5)PPM测量时F0可任意设置 (6)晶体行业专用PPM调频计 (7)A通道具有低通滤波器和20倍衰减功能 (8)10位LED显示(8位数据位,2位指数值) (9)新型导电橡胶轻触式按键,外形美观大方 主要技术指标: 图2 计数器的主要技术指标 2、使用信号发生器和计数器进行测周和测频 (1)调节函数信号发生器的信号源,使其输出信号频率为10KHZ、电压峰-峰值为2V的正弦波,按下“FREQ”(测频)键,按下“PER”(侧周)键,依次调节主门时间,将测量结果记录于下表: (2)改变信号源输出频率,多次测量,将测量结果记录下来。 五、注意事项 在大致了解计数器、信号发生器的使用方法以及各旋钮和开关的作用之后,再动手操作。使用这些仪器时,旋动各旋钮和开关不要用力过猛。

智能双通道计数器A4

智能双通道开关量计数器 使用说明书 一、型号说明 型号举例:YK-212DK1RV24M YK-212系列智能计数器,脉冲信号输入,外形尺寸72×72×110mm,1个继 电器报警控制输出,带RS232隔离通讯接口,带DC24V馈电输出,供电电源220VAC。

二、适用范围 可接收2路开关量、电平脉冲等输入信号,用于机床、纺织、印刷、食品、包装以及成套控制等行业,实现对数量的记录和控制。 三、功能特点 开关量、电平脉冲两种输入信号兼容 多重保护、隔离设计、抗干扰能力强、可靠性高 良好的软件平台,具备二次开发能力,以满足特殊的功能 在显示范围内任意设定计数值,设定值、当前计数值掉电不丢失。 该仪表输入两路开关量计数信号,仪表上下两排显示,上排显示第一路计数值,下排显示第2路计数值。 四、主要技术指标 精度:±1个字 显示范围:0-9999或0-99999 报警方式、报警灵敏度可选,继电器触点容量为220V/3A(阻性) 脉冲幅值低电平:-30~+0.6V;高电平:+4V~+30V 手动复位或外部信号复位 通过门控端可禁止计数脉冲输入(门控暂停功能) 五、按键功能 ■—备用。 En—在设定状态时,用于存贮参数的新设定值并进入下一个设定参数。 ?—在设定状态时,用于增加设定值。 ▼—在设定状态时,用于减少设定值。 六、参数设定 按下En键,上排显示提示符-Cd-,下排出现800,用?和▼键将800设成808,再按En键才进入参数设置状态,输入其他值无效,这主要是为了防止现场非操作人员误修改参数。 (1)J1-H—J1继电器报警方式。设定为1表示高于第1报警点设定值时报警(上限报警),设定为2表示低于第1报警点设定值时报警(下限报警),设定为0时表示此点报警禁止,未使用。 (2)J2-H—J2继电器报警方式。 (3)J1HA—第1报警点设定值。(当HAo设为0时则此项关闭) (4)J2H A—第2报警点设定值。 (5) tS-1—J1继电器报警延时复位时间,单位:秒,设为0时不自动复位,需手动清零复位。 (6) tS-2—J2继电器报警延时复位时间,单位:秒,设为0时不自动复位,需手动清零复位。 (7) Addr—通讯地址即仪表编号,范围1~99。

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

全吸收型电子光子簇射计数器的工作原理

全吸收型电子光子簇射计数器通常包括:碘化钠晶体组成的闪烁谱仪和铅玻璃切伦科夫计数器。碘化钠晶体(辐射长度λo=2.6cm,临界能量 Ec=12.5MeV)和铅玻璃(例如含有53%氧化铅的透明玻璃,λo=2.84cm,Ec=17.3MeV;折射率n≈1.65)都能有效地引起电子光子级联簇射,它们既是簇射介质,又是对带电粒子灵敏的探测元件。 簇射产生的次级粒子(正负电子)在碘化钠晶体中沉积能量,晶体又把沉积的能量成比例地转换成闪烁荧光,经光电倍增管转换成与能量成正比的电荷量输出。在铅玻璃中簇射产生的正负电子,当它们的速度超过切伦科夫阈速度(见切伦科夫辐射)──相应电子动能Ek》150keV 时,正负电子将产生切伦科夫光,光的产额和超过阈速度的次级正负电子的径迹长度成正比。切伦科夫光由光电倍增管成比例地转换成电荷输出。 因此,在一定测量精度范围内,输出电荷量和次级正负电子的径迹总长度成正比,即和入射电子或光子的总能量成正比。全吸收型电子光子簇射计数器通常做成积木式结构。每块晶体(或铅玻璃)由独立的光电倍增管来收集光,各光电倍增管输出电荷量的总和正比于入射高能电子或光子的能量。 能量沉积在各单元的分配代表了簇射次级粒子数目的横向(与入射粒子方向垂直的平面内)分布,分析各单元输出电荷量的分布重心,就能确定入射电子或光子的空间方位。 艾驰商城是国内最专业的MRO工业品网购平台,正品现货、优势价格、迅捷配送,是一站式采购的工业品商城!具有 10年工业用品电子商务领域研究,以强大的信息通道建设的优势,以及依托线下贸易交易市场在工业用品行业上游供应链的整合能力,为广大的用户提供了传感器、图尔克传感器、变频器、断路器、继电器、PLC、工控机、仪器仪表、气缸、五金工具、伺服电机、劳保用品等一系列自动化的工控产品。 如需进一步了解相关仪器仪表产品的选型,报价,采购,参数,图片,批发等信息,请关注艾驰商城。

电子计算器的使用

电子计算器的使用 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

电子计算器的使用 一、关于教材的分析 1、本节教材的特点及优势 由于电子计算器是一种小型电子计算工具,由于它体积小、重量轻、操作简便、运算迅速准确,已取代计算尺和数学用表,更是代替了手工计算,给人们带来很大的方便,成为一种很受人们欢迎的计算工具。所以学好“电子计算器的使用”这一节课,能给学生日常生活和日后的学习工作中带来许多便利之处,提高了他们的工作效率,从面可知学好本节刘意义之远大。 二、学情分析 学生对计算器的按键及功能其实早就有所了解,但程度参差不齐,且有的同学的原有理解是错误的,对于按键顺序的掌握也有所欠缺,所了解的知识不系统,不全面。 三、教学目标的确定 签于电子计算器在日常生活中所处地位和作用,我认为通过本节课的教学,应达到以下目的: (1)使学生了解计算器表面各部件的功能。 (2)了解电子计算器工作的基本过程,形成初步的程序意识。 (3)使学生学会用计算器进行有理数的四则运算,会求一个有理数的平方、立方。 (4)提高学生独立操作能力,使学生装体会到数学有它广泛的应用价值。

(5)培养学生运用数学意识以及运用知识解决实际问题的能力。 四、教学重点的确定 我认为本节重点是了解计算器工作的基本过程,并学会用电子计算器计算加、减、乘、除、平方和立方,这是因为使学生了解电子计算器工作的基本过程后,形成初步的程序意识,这对今后进一步学习电子计算器有重要意义。 五、教学难点的确定 我认为本节难点是例7求百分比和含有百分数的乘除运算,这是因为求两数的百分比时,在计算器显示的结果后面要加上百分号,而示一个数与百分数相乘除时,按类似程序操作,便得所求结果,其后不用加上百分号,而学生对于这一点比较容易混淆。 六、关于教学内容的设计思想 经过认真钻研大纲和教材,我选择了下列教学内容: (1)说明电子计算器工作的基本过程。 (2)介绍简易电子计算器的构造和表面各部件的功能。 (3)讲解利用计算器进行简单加、减、乘、除、乘方计算的操作方法,其中包括例 1、例2 (9) (4)例题后的练习和课后练习,包括想一想。 由于这节课的特殊性,如果教师只在黑板上做文章,这堂课就变得枯燥乏味,缺少创

数字电路设计--------二十四进制计数器

数字电路设计 姓名: *** 学号: ****************** 班级:电信 111 专业:电子信息科学与技术 一.设计题目 二十四进制计数器的设计 二.设计要求 (1)要求学生掌握74系列的芯片和LED的原理和使用方法。 (2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。 三.设计任务 (1)完成一个二十四进制的计数器。 (2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。23显示 后,又从00重新开始计数。 四.设计思路与原理 (一)设计思路框图 →→→ → (二)LED简介 LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发 光二极管构成小数点。七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图 (a)所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。不加电压则变 暗,为了保护各段LED不被损坏,需外加限流电阻。

其真值表如下: (三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源 五.电路图仿真 二十四进制计数器电路仿真

六.心得体会 通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。

闪烁计数器工作原理及应用

闪烁计数器的工作原理 闪烁计数器是一种利用射线引起闪烁体的发光而进行记录的辐射探测器。1947年由J.W. 科尔特曼和H.P.卡尔曼所发明。它由闪烁体、光电倍增管(见光电管)和电子仪器等单元组成。 它是由闪烁体(也称荧光体)和光电倍增管构成。常用的闪烁体有NaI(TI)[铊激活]、ZnS(Ag)和有机晶体“蒽”等,它们在射线照射下会发光(闪烁)。它的工作原理是:射线在闪烁体中产生的光子,打到光电倍增管的阴极上产生光电子,光电子的电子流通过倍增管放大并被阳极接收,形成了一个电脉冲,再由仪器的其他部件加以放大记录。碘化钠晶体常用来测量γ射线,硫化锌晶体常用来测量α射线。闪烁计数器的优点是,效率高、记录快,可以测定射线的能量。 闪烁计数器的应用 射线同闪烁体相互作用,使其中的原子、分子电离或激发,被激发的原子、分子退激时发出微弱荧光(见固体发光),荧光被收集到光电倍增管,倍增的电子流形成电压脉冲,由电子仪器放大分析和记录。利用这种现象可探测带电粒子。可用的闪烁体种类很多,用得较多的有NaI(加微量Tl)、CSI(加微量Tl)、ZnS(加微量Ag )等无机盐晶体和蒽、茋、对联三苯等有机晶体,也有用液体、塑料或气体的闪烁体。闪烁计数器的优点是效率高,有很好的时间分辨率和空间分辨率,时间分辨率达10^-9秒,空间分辨率达毫米量级。它不仅能探测各种带电粒子,还能探测各种不带电的核辐射;不仅能探测核辐射是否存在,还能鉴别它们的性质和种类;不但能计数,还能根据脉冲幅度确定辐射粒子的能量。在核物理和粒子物理实验中应用十分广泛。 艾驰商城是国内最专业的MRO工业品网购平台,正品现货、优势价格、迅捷配送,是一站式采购的工业品商城!具有10年工业用品电子商务领域研究,以强大的信息通道建设的优势,以及依托线下贸易交易市场在工业用品行业上游供应链的整合能力,为广大的用户提供了传感器、图尔克传感器、变频器、断路器、继电器、PLC、工控机、仪器仪表、气缸、五金工具、伺服电机、劳保用品等一系列自动化的工控产品。 如需进一步了解相关仪器仪表产品的选型,报价,采购,参数,图片,批发等信息,请关注艾驰商城/

数字电子技术基础课程设计——拔河机计数器汇总

第1章
引言
现今科学技术日新月异, 信息是一个高度发展的产业, 而数字技术是信息的基础, 数字技术是目前发展最快的领域之一,数字电子技术已经广泛地应用于计算机,自动 控制,电子测量仪表,电视、雷达,通信等各个领域。开发数字电路来实现更多的功 能, 是我们学习数字技术的职责。 现在人们越来越感觉到科技带来的实惠方便和娱乐。 所以我们更应把所学知识应用到生活当中去,使它给我们添加更多的欢乐和方便。拔 河比赛游戏机就是一个结构比较简单但综合性和趣味性的试验, 就是设计一个由数字 电路构成和显示的游戏机,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲, 谁按得快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端指示灯点 亮,这一方就获胜。
1

第 2 章 总体设计方案
2.1 设计思路
(1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路 具有自锁功能,使输入信号无效。 (2) 拔河游戏机由 15 个电平指示灯排列成一行,裁判下达“比赛开始”的命令 后,只有中间一个电平指示灯亮,以此作为拔河的中心线,甲乙双方各持一个按键, 迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动 一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保 持,只有经裁判复位后才使亮点恢复到中心线当一局比赛结束后,由点亮该终点灯的 信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使 计分电路自动加分。
(3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输
入端,其进入方向则由参赛双方的按键信号决定。
2.2 电路设计原理
拔河游戏机用 15 个电平指示灯排列成一行,开机后只有中间一个点亮,有以此 作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得 快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这 一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心 线。最后,显示器显示胜者的盘数。
方案一
采用编码电路、整形电路、译码电路、控制电路、胜负显示 各原理和电路图第三 章中。 方案二 本课题, 可以用两片 74LS192 代替 74LS193, 先将两片 74LS192 连接成 100 进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片 74LS192 的输出 信号八位转化成四位,再接给 CC4514 的输入端。 控制电路也可由异或门 74LS86 和与非门 74LS00 构成。将双方终端指示灯的 正接至异或门的 2 个输入端,当获胜一方为“1” ,而另一方则为“0” ,异或门输出 为“1” ,经与非门产生低电平“0” ,再送到两 74LS192 计数器的置数端的非 LD, 于是计数器停止计数,处于预置状态,此时,同样将各自计数器数据端 D0、D1、
2

同步七进制加法计数器——数字电子技术,

成绩评定表

课程设计任务书

目录 1.课程设计的目的 (2) 2.计数器设计的总体框图 (2) 3.计数器设计过程 (2) 4.序列脉冲设计的总体框图 (5) 5.脉冲序列设计过程 (5) 6.设计的仿真电路图 (10) 7.设计的芯片原理图 (11) 8.实验仪器 (12) 9.总结与体会 (12) 10.参考文献 (13)

1课程设计的目的 1.加深对教材的理解和思考,并通过实验设计、验证正是理论的正确性。 2.学习自行设计一定难度并有用途的计数器、加法器、寄存器等。 3.检测自己的数字电子技术掌握能力。 2.计数器设计的总体框图 下图为同步七进制加法计数器示意框图 图 1 3.计数器设计过程 七进制同步加法计数器,无效态为:111 ①根据题意可画出该计数器状态图: 000 001 010 011 110 101 100 图 2 ②选择触发器,求时钟方程,画出卡诺图。 a.触发器:JK 边沿触发器三个 b.时钟方程:由于是同步计数器,故CP 0=CP 1=CP 2= CP c.卡诺图如下:

七进制同步加法计数器次态卡诺图: Q 图 3 次态Q n 12 +的卡诺图 n n 图 4 次态Q n 1 1+的卡诺图 n n 图 5

次态 Q n 10 +的卡诺图 Q 图 6 ③根据卡诺图写出状态方程: 状态方程: Q n+1 2= Q n 2Q n 1+Q n 2Q n 1Q n 0 Q n+1 1 = Q n 1Q n 0+ Q n 2Q n 1Q n Q n+1 0 = Q n 1Q n 0+ Q n 2Q n 0 ④求驱动方程: JK 触发器特性方程为:1n n n Q JQ KQ +=+ 由此可以得出驱动方程: J 2=Q n 1Q n 0 K 2=Q n 1 J 1=Q n 0 K 1= Q n 2Q n J 0=Q n 1 Q n 2 K 0=1 ⑤检查电路能否自启动: 将无效态(111)代入状态方程、输出方程进行计算,

智能计数器说明书

智能计数器说明书 1.性能指标 计数范围: 999999 计数频率: 0-1000HZ 输入电压: 220V AC±5% 输出形式(可选): TTL电平输出 继电器输出 通讯模式: 支持RS485接口基于MODEM-BUS协议 波特率1200bit/s-9600bit/s 功能特点: 三种清零方式 三种启停计数方式 四种工作模式 停止计数时数据保存 支持多机通讯与控制 2.工作模式 工作模式1:当计数达到计数上限时,计数器停止计数,输出报警信号. 工作模式2:当计数达到计数上限时,计数器继续计数,输出报警信号. 工作模式3:当计数达到计数上限时,计数器自动清零,同时输出报警信号.(注: 报警信号只维持10ms 左右.) 工作模式4:不停止计数,每间隔计数报警上限的整数倍时,输出报警信号.(注:报警信号只维持10ms 左右.) 3.键盘操作说明 面板键盘有四个键,可完成控制器的功能设置与工作模式的转换. 复位键:当系统死机或工作不正常时,可以按压复位键强制计数器复位. 设置键:按压设置键进行页选择,每一页代表一项功能项. 上下键:在设置状态时,完成数据的修改. 下键与设置键组合:在计数状态时,可完成计数器清零. 上键:在计数状态时,可完成启停计数器计数. 按压设置键可以使计数器进入设置状态.在计数器为设置状态时,不按任何键2S后,计数器返回计数状态. 计数器共有6个设置页.计数器第二行的两位LED表示哪一个设置页. 1)清零方式选择: 按压设置键直到功能页显示1.后, 按压上下↑或↓可加减设置值. 00:表示使用手动清零.即在计数状态时,按压下键与设置键组合完成计数器清零. 01:表示使用外部引脚清零.即在计数状态时,将FWR与FERG短接150ms即可完成计数器清零. 02:表示使用串口清零.即在计数状态时,由上位机发送清零命令,即可完成计数器清零. 2)计数器启停方式选择:

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

数字电路 时序逻辑电路——计数器实验实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班姓名 王园园 学号 2 实验日期2014年5 月26 日 实验合作者:李俊杰 老师评定 实验题目:时序逻辑电路——计数器实验 一、实验目的 (一)掌握由集成触发器构成计数器的方法。 (二)熟悉中规模集成计数器74LS161计数器的逻辑功能及使用方法。 (三)学习中规模集成计数器74LS192计数器的逻辑功能及使用方法。 (四)学习计数器清零端与置数端的功能、同步与异步的概念。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 芯片74LS00 74LS161 74LS192 三、实验内容 图5-1 74LS161构成N 进制计数器目标电路图 图5-2 74LS161引脚排列图 输入 输出 CR CP LD CT P CT T D 3D 2D 1D 0 n n n n Q Q Q Q 0123 C0 0 x x x x x 0 0 0 0 1 0 x x d 3d 2d 1d 0 d 3d 2d 1d 0 CO= CT T Q Q Q Q n n n 123 1 1 1 1 x 计数 CO=n n n n Q Q Q Q 0123 1 x 1 0 x x 保持 CO= CT T Q Q Q Q n n n 123 1 x 1 x x 保持 用十六进制同步加法计数器74LS161构成N 进制计数器的设计(异步清零,同步置数)

1.按图5-1接好。从CP端输入时钟脉冲。 2.将M端接高电平,并把计数结果记录下来。如下表5-2 3.将M端接低电平,并把计数结果记录下来。 4.如果将清零端与置数端接线交换,重复2、3步骤,计数器的N分别等于多少? 答:2,3步骤N都为16 接线交换后,LD=1输入无效。加法计数器计数溢出后CO=1 => CR=0触发异步清零,然后CO=0 => CR=1,计数器重新从零开始加法计数,所以N=15

相关文档
最新文档