半导体工艺中的英语词汇

合集下载

半导体行业英文术语

半导体行业英文术语

半导体行业英文术语English:Some common terms in the semiconductor industry include:1. Integrated Circuit (IC): A small electronic device made out of a semiconductor material that can perform an extensive range of functions.2. Semiconductor manufacturing: The process of creating integrated circuits and semiconductor devices, including design, fabrication, and packaging.3. Wafer: A thin slice of semiconductor material used as the substrate for the fabrication of integrated circuits.4. Photolithography: A process used to transfer circuit patterns onto the wafer surface using light and photoresist materials.5. Die: A single piece of an integrated circuit, typically cut from a wafer after fabrication and packaging.6. Yield: The percentage of functional and operational semiconductor devices produced during the manufacturing process.7. Moore's Law: The observation that the number of transistors in a dense integrated circuit doubles approximately every two years, leading to exponential growth in processing power.8. Quantum tunneling: A phenomenon in which electrons penetrate through a potential barrier they classically shouldn't be able to cross, crucial for the operation of semiconductor devices.中文翻译:半导体行业的一些常见术语包括:1. 集成电路(IC):由半导体材料制成的小型电子器件,可执行广泛的功能。

半导体工艺中的英语词汇

半导体工艺中的英语词汇

AAbrupt jun ction 突变结Accelerated test ing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulatio n 积累、堆积Accumulat ing con tact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activati on 激活Activation energy 激活能Active region 有源(放大)区Admittanee 导纳Allowed band 允带Alloy-junction device 合金结器件Aluminum (Aluminium )铝Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous无定形的,非晶体的Amplifier功放扩音器放大器Analogue (Analog)comparator 模拟比较器Angstrom 埃Anneal退火Anisotropic 各向异性的An ode 阳极Arse nic (AS)砷Auger俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avala nche excitati on 雪崩激发BBackgro und carrier 本底载流子Backgro und dop ing 本底掺杂Backward 反向Backward bias 反向偏置Ballasti ng resistor 整流电阻Ball bo nd 球形键合Band能带Ba nd gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base基极Base con tact 基区接触Base stretchi ng 基区扩展效应Base tran sit time 基区渡越时间Base tran sport efficie ncy 基区输运系数Base-width modulation 基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compo und semic on ductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch布洛赫Blocki ng ba nd 阻挡能带Blocki ng con tact 阻挡接触Body - cen tered 体心立方Body-ce ntred cubic structure 体立心结构Boltzma nn 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Bou ndary con diti on 边界条件Bou nd electro n 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built- in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk gen erati on 体产生Bulk recomb in ati on 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitanee 电容Capture cross sectio n 俘获截面Capture carrier 俘获载流子Carrier载流子、载波Carry bit进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade级联Case管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdow n 沟道击穿Channel curre nt 沟道电流Channel dop ing 沟道掺杂Channel shorte ning 沟道缩短Channel width 沟道宽度Characteristic impeda nee 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/ 交换/ 共享/转移/存储Chemmical etchi ng 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片Chip yield芯片成品率Clamped箝位Clampi ng diode 箝位二极管Cleavage pla ne 解理面Clock rate 时钟频率Clock gen erator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Commo n-base/collector/emitter conn ection 共基极/ 集电极/ 发射极连接Common-gate/dra in/source connection 共栅/ 漏/ 源连接Common-m ode gain 共模增益Common-m ode in put 共模输入Com mon-mode rejectio n ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compe nsated impurities 补偿杂质Compe nsated semic on ductor 补偿半导体Compleme ntary Darlington circuit 互补达林顿电路Compleme ntary Metal-Oxide-Semico nductor Field-Effect-Tra nsistor (CMOS)互补金属氧化物半导体场效应晶体管Compleme ntary error fun ctio n 余误差函数Computer-aided design (CAD)/test (CAT)/manufacture (CAM)计算机辅助设计/测试/制造Compo und Semic on ductor 化合物半导体Con ducta nee 电导Con ducti on band (edge)导带(底)Con ducti on level/state 导带态Con ductor 导体Con ductivity 电导率Configuration 组态Conlomb 库仑Con pled Co nfiguration Devices 结构组态Co nsta nts 物理常数Constant energy surface 等能面Constant-source diffusion 恒定源扩散Con tact 接触Co ntami natio n 治污Contin uity equatio n 连续性方程Con tact hole 接触孔Con tact pote ntial 接触电势Con ti nuity con ditio n 连续性条件Co ntra dopi ng 反掺杂Con trolled 受控的Converter转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covale nt 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible 坩埚Crystal defect/face/orientation/lattice 晶体缺陷/ 晶面/ 晶向/ 晶格Curre nt den sity 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye len gth 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB)分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat缺陷Dege nerate semic on ductor 简并半导体Dege neracy 简并度Degradation 退化Degree Celsius (centigrade)/Kelvin 摄氏/开氏温度Delay延迟Density 密度Density of states 态密度Depletion 耗尽Depleti on approximati on 耗尽近似Depleti on contact 耗尽接触Depletio n depth 耗尽深度Depletio n effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Depositi on process 淀积工艺Desig n rules 设计规贝UDie芯片(复数dice)Diode二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode in put 差模输入Differe ntial amplifier 差分放大器Differe ntial capacita nee 微分电容Diffused jun ction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusi on con sta nt 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/ 电流/ 炉Digital circuit 数字电路Dipole domai n 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semic on ductor 直接带隙半导体Direct tran siti on 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacita nee 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Don or exhaustion 施主耗尽Dopa nt掺杂剂Doped semic on ductor 掺杂半导体Doping concen trati on 掺杂浓度Double-diffusive MOS (DMOS)双扩散MOS.Drift漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式圭寸装Dynamics 动态Dynamic characteristics 动态属性Dyn amic impeda nee 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation (ship)爱因斯坦关系Electric Erase Programmable Read Only Memory (E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electr on affinity 电子亲和势Electro nic -grade 电子能Electro n-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electro n trappi ng cen ter 电子俘获中心Electro n Volt (eV)电子伏Electrostatic 静电的Element元素/元件/配件Eleme ntal semic on ductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty ba nd 空带Emitter crowdi ng effect 发射极集边(拥挤)效应En dura nee test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 夕卜延层Epitaxial slice 夕卜延片Expitaxy 夕卜延Equivale nt curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/ 少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error fun cti on compleme nt (erfc) 余误差函数Etch刻蚀Etchant刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation en ergy 激发能Excited state 激发态Exciton 激子Extrapolation 夕卜推法Extri nsic 非本征的Extri nsic semic on ductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散) Field effect tran sistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking/conducting 正向阻断/导通Freque ncy deviatio n no ise 频率漂移噪声Freque ncy response 频率响应Function 函数GGain 增益Gallium-Arsenide (GaAs)砷化钾Gamy ray r射线Gate门、栅、控制极Gate oxide 栅氧化层Gauss (ian ) 高斯Gaussia n distributi on profile 高斯掺杂分布Gen erati on-recomb in ati on 产生-复合Geometries 几何尺寸Germanium (Ge) 锗Graded 缓变的Graded (gradual ) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gu nn - effect 狄氏效应HHarde ned device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor (HBT)异质结双极型晶体High field property 高场特性High-performanee MOS. (H-MOS)高性能MOS. Hormalized 归一化Horiz on tal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid in tegration 混合集成IImage - force 镜象力Impact ioni zati on 碰撞电离Impedanee 阻抗Imperfect structure 不完整结构Impla ntati on dose 注入剂量Impla nted ion 注入离子Impurity 杂质Impurity scattering 杂质散射In creme ntal resista nee 电阻增量(微分电阻)In-co ntact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道Infrared 红外的Injecti on 注入In put offset voltage 输入失调电压In sulator 绝缘体Insulated Gate FET (IGFET)绝缘栅FET Integrated injection logic 集成注入逻辑In tegrati on 集成、积分In terc onnection 互连In terc onnection time delay 互连延时In terdigitated structure 交互式结构In terface 界面In terfere nee 干涉Intern ati onal system of unions 国际单位制Intern ally scatteri ng 谷间散射In terpolati on 内插法In tri nsic 本征的Intrin sic semic on ductor 本征半导体in verse operati on 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etchi ng 离子刻蚀Ion impla ntatio n 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic各向同性JJunction FET (JFET)结型场效应管Junction isolation 结隔离Junction spaci ng 结间距Junction side-wall 结侧壁LLatch up 闭锁Lateral横向的Lattice 晶格Layout 版图Lattice bin di ng/cell/co nsta nt/defect/distortio n 晶格结合力/ 晶胞/ 晶格/ 晶格常熟/晶格缺陷/晶格畸变Leakage curre nt (泄)漏电流Level shifti ng 电平移动Life time 寿命linearity 线性度Li nked bo nd 共价键Liquid Nitroge n 液氮Liquid —phase epitaxial growth tech nique 液相外延生长技术Lithography 光刻Light Emitting Diode (LED)发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Lon gitudi nal 纵向的Logic swi ng 逻辑摆幅Lorentz洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask掩膜板,光刻板Mask level掩模序号Mask set掩模组Mass - action law 质量守恒定律Master-slave D flip-flop 主从D 触发器Matchi ng 匹配Maxwell麦克斯韦Mea n free path 平均自由程Mea ndered emitter jun ction 梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resista nee 磁阻Mesa 台面MESFET-Metal Semiconductor 金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectro nics 微电子学Mille n in dices 密勒指数Minority carrier 少数载流子Misfit失配Mismatchi ng 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal 分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor (MOST )MOS.晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module (MCM)多芯片模块Multiplication coefficient 倍增因子NNaked chip未圭寸装的芯片(裸片)Negative feedback 负反馈Negative resista nee 负阻Nesti ng 套刻Negative-temperature-coefficie nt 负温度系数Noise margin 噪声容限Non equilibrium 非平衡No nrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset偏移、失调On standby 待命状态Ohmic con tact 欧姆接触Ope n circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical phot on =phot on 光子Optical que nching 光猝灭Optical transition 光跃迁Optical-coupled isolator 光耦合隔离器Organic semic on ductor 有机半导体Orien tati on 晶向、定向Outline 外形Out-of-contact mask 非接触式掩模Output characteristic 输出特性Output voltage swi ng 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator振荡器Oxide氧化物Oxidation 氧化Oxide passivation氧化层钝化PPackage圭寸装Pad压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillati on 寄生振荡Pass in ati on 钝化Passive comp onent 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo con duction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoe nic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin管脚Pinch off夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Pla nar tran sistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equati on 泊松方程Poi nt con tact 点接触Polarity 极性Polycrystal 多晶Polymer semico nductor 聚合物半导体Poly-silic on 多晶硅Pote ntial (电)势Pote ntial barrier 势垒Potential well 势阱Power dissipation 功耗Power tran sistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Prin t-circuit board (PCB)印制电路板Probability 几率Probe探针Process工艺Propagati on delay 传输延时Pseudopote ntial method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator (PWM)脉冲宽度调制punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Qua ntizatio n 量子化Quantum 量子Quantum efficiency 量子效应Quantum mechanics 量子力学Quasi - Fermi —level 准费米能级Quartz 石英RRadiation con ductivity 辐射电导率Radiatio n damage 辐射损伤Radiation flux den sity 辐射通量密度Radiatio n harde ning 辐射加固Radiati on protect ion 辐射保护Radiative - recomb in ati on 辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recomb in atio n 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifyi ng con tact 整流接触Referenee 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可* 性Resonance 谐振Resista nee 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency 共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(AI2O3)Satellite valley 卫星谷Saturated current range 电流饱和区Saturatio n regi on 饱和区Saturatio n 饱和的Scaled dow n 按比例缩小Scatteri ng 散射Schockley diode 肖克莱二极管Schottky肖特基Schottky barrier 肖特基势垒Schottky con tact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secon dary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semic on ductor-c on trolled rectifier 可控硅Sen dsitivity 灵敏度Serial串行/串联Series inductanee 串联电感Settle time 建立时间Sheet resista nee 薄层电阻Shield 屏蔽Short circuit 短路Shot noise散粒噪声Shu nt分流Sidewall capacitanee 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride (Si3N4)氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat (PT)热Speed-power product 速度功耗乘积Spherical球面的Spin自旋Split分裂Spontan eous emissi on 自发发身寸Spread ing resista nee 扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emissio n 受激发射Stimulated recomb in ati on 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutio nal 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关TTailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resista nee 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film tech ni que 厚膜技术Th in-film hybrid IC 薄膜混合集成电路Thi n-Film Tran sistor (TFT)薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductanee 跨导Transfer characteristic 转移特性Transfer electr on 转移电子Tran sfer fun ction 传输函数Tran sie nt 瞬态的Tran sistor agi ng (stress)晶体管老化Tran sit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Tran siti on probability 跃迁几率Tran siti on region 过渡区Tran sport 输运Tran sverse 横向的Trap陷阱Trapping俘获Trapped charge 陷阱电荷Trian gle gen erator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel (ing)隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijun ction 单结的Unipolar单极的Unit cell原(元)胞Un ity-ga in freque ncy 单位增益频率Un ilateral-switch 单向开关VVacancy 空位Vacuum 真空Vale nee (value)band 价带Value band edge 价带顶Vale nee bond 价键Vapour phase 汽相Varactor变容管Varistor变阻器Vibration 振动Voltage 电压WWafer晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield成品率Zener breakdow n 齐纟纳击穿。

半导体制造专业英语术语

半导体制造专业英语术语

半导体术语表第1页共68页半导体术语表第2页共68页amorphous 非晶的,无定型an alog 模拟信号angstrom 埃anion 阴离子an isotropic etch profile 各向异性刻蚀剖面ann eal 退火an tim ony(sb) 锑an tirelective coat in g(ARC) 抗反射涂层APCVD 常压化学气向淀积applicatio n specific IC(ASIC) 专用集成电路aqueous soluti on 水溶液area array 面阵歹Uargon (Ar) n.[化]氩arse ni c(As) 砷arsin e(AsH3) 砷化氢,砷烷ashi ng 灰化,去胶aspect ratio 深宽比,高宽比aspect ratio depe ndent etchi ng(ARDE) 与刻蚀相关的深宽比asphyxia nt 窒息剂assay n umber 检定数atmospheric adj. 大气的atmospheric pressure 大气压atmospheric pressure CVD(APCVD) 常压化学气向淀半导体术语表第3页共68页backi ng film 背膜baffle vt. 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇编(挡片块)ball grid array(BGA) 球栅阵列ballroom layout barrel reactor舞厅式布局,超净间的布局圆桶型反应室barrier metal 阻挡层金属barrier voltage 势垒电压base 基极,基区batch 批半导体术语表第4页共68页bay and chase layout 生产区和技术夹层区beam blow-up 离子束膨胀beam curre nt 束流beam decelerati on 束流减速beam energy 离子束能量beol (生产线)后端工序best focus 最佳聚焦BGA 球栅阵列Biasi ng 电压拉偏BICMOS 双极CMOS半导体术语表第5页共68页半导体术语表 第6页共68页突破步骤,起始的干法刻蚀步骤亮场检查涮洗buffered oxide etch(BOE) bulk chemical distributi on bulk gases 大批气体 bulkhead equipme nt layout bumped chip 凸点式芯片buried layer 埋层 burn-box 燃烧室(或盒) bur n-i n 老化 CA 化学放大(胶) can tilever n.[建]悬臂 can tilever paddle 悬臂桨 cap oxide 掩蔽氧化层 capacita nce电容capacita nce-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器breakthrough step brightfield detection brush scrubb ing bubbler 带鼓泡槽氧化层腐蚀缓冲液 批量化学材料配送 穿壁式设备布局半导体术语表第7页共68页caro ' s acid 3 号液carrier 载流子carrier-depleti on region 载流子耗尽层carrier gas 携带气体cassette (承)片架cati on 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD-SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面cen ter slow 中心慢速central process ing uni t(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Cha nnel 沟道cha nn el le ngth 沟道长度cha nn eli ng 沟道效应charge carrier 载流子chase技术夹层chelati ng agent 螯合齐ijchemical amplificatio n(CA) 化学放大胶chemical etch mecha半导体术语表第8页共68页nism 化学刻蚀机理chemical mecha ni cal pla narizati on (CMP) 化学机械平坦化chemical soluti on 化学溶液chemical vapor depositio n(CVD) 化学气相淀积chip 芯片chip on board(COB) 板上芯片chip scale package(CSP) 芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级别clea nroom 净化间clea nroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficie nt n.[数]系数Coefficie nt of thermal expa nsio n(CTE)热涨系数半导体术语表第9页共68页Cohere nce probe microscope 相干探测显微镜Cohere nt light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputteri ng 准直溅射Compensate v.偿还,补偿,付报酬Compo und semic on ductor 化合物半导体Con ce ntrati on 浓度Conden sation 浓缩Con ductor 导体constantly adv.不变地,经常地,坚持不懈地Co nfocal microscope 共聚焦显微镜Con formal step coverage 共型台阶覆盖Con tact 接触(孔)Con tact alig nment 接触式对准(光刻)Con tact an gle meter 接触角度仪Con tam in ati on 沾污、污染conti boat 连柱舟半导体术语表第10页共68页con ticaster [冶]连铸机Contin uous spray develop 连续喷雾显影Con tour maps 包络图、等位图、等值图Co ntrast 对比度、反差contribution n. 捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVDCopper in terc onnect 铜互连Cost of own ership(COO) 业主总成本Coval ent bond 共价键Critical dime nsio n 关键尺寸Cryoge nic aerosol clea ning 冷凝浮质清洗Cryoge nic pump(cryopump) 冷凝泵Crystal 晶体Crystal activatio n 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orie ntati on 晶向CTE 热涨系数半导体术语表第11页共68页Curre nt-drive n curre nt amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamasce ne 大马士革工艺darkfiled detectio n 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects den sity 缺陷密度defect 缺陷deglaze 漂氧化层degree of pla narity(DP) 平整度dehydrati on bake 去湿烘培,脱水烘培den sity 密度deple nti on mode 耗尽型半导体术语表第12页共68页degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt.存放,堆积vi.沉淀depositi on 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT) 可测试设计desorpt ion 解吸附作用develop in spect 显影检查developme nt 显影developer 显影液deviati on n. 背离device isolati on 器件隔离device tech no logy 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosila ne(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alig nme nt 逐个芯片对准dielectric 介质dielectric con sta nt 介电常数die matrix 芯片阵列die separati on 分片diffraction 衍射半导体术语表第13页共68页diffractio n-limited optics 限制衍射镜片diffusion 扩散diffusi on con trolled 受控扩散digital/analog 数字/模拟digital circuitdilue ntdirect chip attach( DCA)direct ion ality discrete dishi ng dislocati on dissoluti on rate dissolution rate mon itor(DRM) 溶解率监测DNQ- novolak 重氮柰醌一酚醛树脂Donor 施主dopa nt profile 掺杂刨面) doped region 掺杂区dop ing 掺杂dose mo nitor 剂量检测仪dose,Q 剂量dow nstream reactor 顺流法反应drain 漏drive-in 推进dry etch 干法刻蚀dry mecha ni cal pump 干式机械泵dry oxidati on 干法氧化dummy n.哑巴,傀儡,假人,假货adj. 假的,虚虚拟的,构的n.[计]哑元dynamic adj. 动力的,动力学的,动态的E半导体术语表第14页共68页econ omies of scale 规模经济edge bead removal 边缘去胶edge die 边缘芯片edge exclusi on 无效边缘区域electrically erasable PROM 电可擦除EPROMelectrode 电极electromigrati on 电迁徙electro n beam lithography 电子束光刻electro n cyclotro n reso nance 电子共振回旋加速器electro n shower 电子簇射,电子喷淋electro n stopp ing 电子阻止electro nic wafer map 硅片上电性能分布图electroplat ing 电镀electropolishi ng 电解拋光electrostatic chuck 静电吸盘electrostatic discharge(ESD) 静电放电ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极en dpo int detecti on 终点检测engin eeri ng n.工程(学) electrostatic discharge(EDX) 能量弥散谱仪enhan ceme nt mode 增强型epi 夕卜延epitaxial layer 夕卜延层epoxy un derfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器半导体术语表第15页共68页erosion 腐蚀,浸蚀establish vt.建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias 刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch un iformity 刻蚀均匀性etcha nt 刻蚀剂etchback pla narizati on 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporati on 蒸发even adj.平的,平滑的,偶数的,一致的,平静的, 恰好的,平均的,连贯的adv.[加强语气]甚至(…也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量半导体术语表第16页共68页extracti on electrode 吸极extreme UV 极紫外线extri nsic silic on 掺杂硅FFables 无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furn aces 快速升降温炉fault model 失效模式FCC diam ond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s laws FICK 定律field-effect tran sistor 场效应晶体管field oxide 场氧化field-by-field alig nme nt 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packag ing 最终装配和圭寸装final test 终测first in terlayer dielectric(ILD-1) 第一层层间介质fixed oxide charge 固定氧化物电荷半导体术语表第17页共68页flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal le ngth 焦距focal pla ne 焦平面focal point 焦点focus 聚焦focus ion beam(FIB) 聚焦离子束footpri nt 占地面积formula n.公式,规则,客套语forward bias 正偏压four-po int probe 四探针frenkel defect Frenkel 缺陷fron t-ope ning uni fied pod(FOUP)前开口盒fun ctio nal test 功能测试furn ace flat zone 恒温区Gg-line G 线gallium(Ga)镓gallium arse nide(GaAs) 砷化镓gap fill 间隙填充gas 气体gas cabinet 气柜gas man ifold 气瓶集装gas phase n ucleati on 气相成核gas purge 气体冲洗gas throughput 气体产量半导体术语表第18页共68页gate 栅gate oxide 栅氧化硅gate oxide in tegrity 栅氧完整性germa ni um(Ge) 错getter 俘获glass 玻璃glazi ng 光滑表面global alig nment 全局对准global pla narizatio n 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grow n oxide layer 热氧化生长氧化层HHaloge n 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic seali ng 密圭寸heteroepitaxy 异质外延heteroge neous reacti on 异质反应hexamethyldisilaza ne(HMDS)六甲基二硅氨烷high-de nsity plasma(HDPCVD) 高密度等离子体化学气相淀积high-de nsity plasma etch 高密度等离子刻蚀high-pressure oxidati on 高压氧化high-temperature diffusi on furn ace高温扩散炉high vacuum 高真空high vacuum pumps 高真空泵半导体术语表第19页共68页半导体术语表 第20页共68页IC reliability 集成电路可靠性 Iddq test ing 静态漏电流测试 image resolution 图象清晰度 图象分解力 impla nt v.灌输(注入) impurity 杂质 in creme nt n. 增加,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母in situ measurem ents 在线测量 in dex of refraction 折射率 indium 铟in ductively coupled plasma(ICP) 电感耦合等离子体 in ert gas 惰性气体in frared in terfere nce 红外干涉 in got 锭ink mark 墨水标识在线参数测试 输入/输出管脚 学院,协会 vt.创立,开始,制 定,开始(调查),提起(诉讼) in sulator 绝缘体in-li ne parametric test in put/output(I/O)pin institute n. 学会,半导体术语表第21页共68页in tegrated measurem ent tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连in terco nn ect delay 互连连线延迟in terface-trapped charge 界面陷阱电荷in terferometer 干涉仪in terlayer dielectric(ILD) 层间介质in terstitial 间隙(原子)in tri nsic silic on 本征硅in voke v. 调用ion 离子ion analyzer 离子分析仪ion beam milli ng or ion beam etch in g(IBE) 离子铣或离子束刻蚀ion impla ntati on 离子注入ion impla ntati on damage 离子注入损伤ion impla ntati on dop ing 离子注入掺杂ion impla nter 离子注入机ion projectio n lithography(IPL) 离子投影机ioni zati on 离子化ion ized metal plasma PVD 离子化金属等离子IPA PVD半导体术语表第22页共68页vapor dry 异丙醇气相干燥isolati on regions 隔离区isotropic etch profile 各向同性刻蚀刨面JJEFT结型场效应管jun ctio n(p n) PN 结jun cti on depth 结深jun cti on spik ing 结尖刺KKelvi n 绝对温度killer defect 致命缺陷ki netically con trolled react ion 功能控制效应Llam inar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusi on 横向扩散law of reflecti on 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compact ion 透镜收缩light 光light in te nsity 光强light scatteri ng 光散射lightly doped drai n(LDD) 轻掺杂漏半导体术语表第23页共68页lin ear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区lin ewidth 线宽liquid 液体lithography 光刻loaded brush 沾污的毛刷loaded effect负载效应loadlock 真空锁local in terco nn ect(LI) 局部互连local pla narizati on 局部平坦化local oxidation of silico n(LOCOS) 硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD)彳氐压化学气相淀积LSI大规模集成电路Mmagnetic CZ ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputteri ng 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop 补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,半导体术语表第24页共68页掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow con troller(MFC) 质量流量计mass spectrometer 质谱仪mass-tra nsport limited reacti on质量传输限制效应mathematical adj.数学的,精确的mea n free path(MFP) 平均自由程medium vacuum 中真空半导体术语表第25页共68页megasonic cleaning 超声清洗melt熔融membra ne con tactor 薄膜接触器,隔膜接触器membra ne filter 薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metaladj. contact 金属接触孔metal impurities 金属杂质metalstack 复合金属,金属堆叠metallizati on 金属化metalorga nic CVD 金属有机化学气相淀积metrology 度量衡学microchip 微芯片microdefect 微缺陷microlithography 微光刻microloadi ng 微负载,与刻蚀相关的深宽比micron 微米microprocessor n.[计]微处理器microprocessor unit 微处理器microrough ness 微粗糙度Miller in dices 密勒指数minienvironment 微环境mi ni mum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ion ic co ntami nan ts(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt. 更改,修改v.修改molecular beam epitaxy (MBE)分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,半导体术语表第26页共68页样片mono crystal 单晶mono lithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor currea nt en dpoi nt 电机电流终点检测(法)MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multile nel metallization 多重金属化Murphy's model 墨菲模型Nnan ometer( nm) 纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negati ne resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受)ne gati ne resist developm ent 负性光刻胶显影neutral beam trap 中性束陷阱ne xt-g ene rati on lithography 下一代光刻技术n itric acid(HNO3) 硝酸nitroge n(N2) 氮气nitrogen trifluoride(NF3) 三氟化氮半导体术语表第27页共68页nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管non critical layer 非关键层non volatile memory 非挥发性存储器n ormality 归一化notch 定位槽novolak 苯酚甲醛聚树脂材料npn npn型(三极管) n-type silicon n 型硅nu clear stopp ing 离子终止nucleati on 成核现象,晶核形成nu clei coalesce nce 核合并numerical aperture(NA) 数值孔径n-well n 阱Oobjective (显微镜的)物镜off-axis illumi natio n( OAI) 偏轴式曝光,离轴式曝光ohmic con tact 欧姆接触op amp 运算放大器optical in terferometry en dpoi nt 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correctio n(O PC) 光学临近修正半导体术语表第28页共68页optical pyrometer 光学高温计optics 光学organic compo und 有机化合物半导体术语表第29页共68页out-diffusion 反扩散outgassi ng 除气作用overdrive 过压力overetch step 过刻蚀overflow rin ser 溢流清洗overlay accuracy 套准精度overlay budget 套准偏差overlay registrati on 套刻对准oxidati on 氧化oxidati on-in duced stack ing faults(OISF) 缺陷,氧化诱生堆垛层错oxide 氧化物、氧化层、氧化膜oxidezer 氧化剂oxide-trapped charge 氧化层陷阱电荷ozo ne(O3) 臭氧Ppackage 封装管壳pad con diti oning 垫修整pad oxide 垫氧化膜paddle 悬臂n.短桨,划桨,明轮翼水,涉水vt.用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(pla nar)reactor 平板反应parallel testi ng 并行测试parameter 参数parametric test 参数测试parasitic 寄生parasitic capacita nee 寄生电容parasitic resista nce 寄生电阻parasitic tran sistor 寄生电阻器partial pressure 分压particle den sity 颗粒密度氧化诱生层积vi.划桨,戏半导体术语表第30页共68页particle per wafer per pass(PWP)每步每片上的颗粒数passivati on 钝化passivati on layer 令屯化层passive comp onents 无源元件pattern sen sitivity 图形灵敏性patterned etchi ng 图形刻蚀pattern wafer 带图形硅片patterni ng 图形转移,图形成型,刻印pc board 印刷电路版p-channel MOSFET p 沟道MOSFETPCM 工艺控制监测PEB 曝光后烘焙PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜pen tava lent 五价元素perform vt. 履行,执行,表演,演出v. performing完成任务adj. 表演的,履行的perimete array 周边阵列式(圭寸装) pH scale pH 值phase-shift mask(PSM) 相移掩膜技术phosphi ne(PH3)磷化氢phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷半导体术语表第31页共68页phosphorus oxychloride(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid gen erator(PAG) 光酸产生剂photoacoustics 光声的photoactive compou nd(PAC) 感光化合物photography n.摄影,摄影术光刻photolithography 光刻(技术)photomask 光掩膜photoresist 光刻胶photoresist stripp ing 去胶、光刻胶去除physical etch mecha nism 物理刻蚀机理physical vapor depositio n(PVD) 物理气相淀积pigtail 引出头pin grid array(PGA) 针栅阵列式(封装) pin hole 针孑L pira nha 3 号液pitch 间距pla nar 平面pla nar capacitor平面电容pla nar process 平面工艺pla narizati on 平坦化plasma 等离子体n.[解]血浆,乳浆,[物]等离子体,等离子区plasma-based dry clea ning 等离子体干法清洗plasma electro n flood 等离子电子流plasma enhancedCVD(PECVD) 等离子体增强CVD半导体术语表第32页共68页plasma-in duced damage 等离子体诱导损伤plasma pot en tial distributi on 等离子体势分布plastic dual in-li ne package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packag ing 塑料圭寸装plug 塞,填充vt. 埼塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisso n's model 泊松模型polarizati on 极化,偏振polarized light 极化光,偏振光polish 拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishi ng loop 磨拋循环polishi ng pad 拋光(衬)垫polycide 多晶硅化物polycrystal 多晶半导体术语表第33页共68页polysilic on 多晶硅polysilic on gate 多晶硅栅portion n. —部分,一分positive lithography 正性光刻positive resist 正性光刻胶positive resist developme nt 正性光刻胶显影post-develop in specti on 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphizati on 预非晶化precursor 先驱物predepositi on 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orie ntati on flat 主定位边print bias光刻涨缩量prin ted circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober 探针台process 工艺process chamber 工艺腔,工艺反应室process chemical 工艺化学process control mon itor(PCM) 工艺控制监测(图形) process latitude 工艺水平,工艺能力process recipe 工艺菜单programmable array logic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件半导体术语表第34页共68页programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt.提示,鼓动,促使,(给演员)提白adj.敏捷的,迅速的,即时的adv. 准时地n. DOS 命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportio nal ba nd 比例区,比例带,比例尺范围proximity alig ner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率pun chthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyroge nic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass an alyzer(QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管半导体术语表第35页共68页quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发ran dom access memory(RAM) 随机存储器range射程rapid thremal ann eal(RTA) 快速热退火rapid thermal processor(RTP) 快速热处理RCA clea n RCA 清洗reactio n rate limited 反应速率限制reactive ion etch(RIE) 反应离子刻蚀reactivity 反应性reactor 反应室,反应腔read-o nlymemory(ROM) 只读存储器recomb in ati on 复合redistribut ion 再分布reflection spectroscopy 反射光谱仪reflective no tchi ng 反射开槽reflow 回流refraction 折射refractory metal 难融金属regeneration 再生regene rati on 套准精度relative in dex of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt.重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA) 残余气体分析器resist光刻胶半导体术语表第36页共68页resist developme nt 光刻胶显影resista nee 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO) 反向渗透RF射频RF sputteri ng 射频溅射rinse v.嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughi ng pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scali ng按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪sea nning electro n microscope(SEM) 扫描电子显微镜sca nning projecti on alig ner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层半导体术语表第37页共68页scribe line 划片道scribe line mon itor(SLM) 划片线监测scumming 底膜sec ondary electro n 二次电子半导体术语表第38页共68页sec on dary electro n flood 二次电子流sec on dary ion mass spectrometry(SIMS)二次离子质谱(法)seed ' s model SEED模型selective etching 选择性刻蚀selective oxidati on 选择性氧化selectivity 选择性semic on ductor grade silic on 半导体极硅semic on ductor 半导体sensitivity 灵敏度shallow trench isolatio n(STI) 浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity, 方块电阻率shot size胶(点)尺寸shri nking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon n itride(SI3N4)氮化硅silic on on sapphire 蓝宝石伤硅silicon on in sulator(SOI) 绝缘体上硅silicon tetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅sin gle crystal silic on 单晶硅silylation 硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料si ngle crystal 单晶slip滑移slurry 磨料半导体术语表第39页共68页SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solve nt 溶剂SOS蓝宝石上硅Source 源source drain impla nts 源漏注入spacer n.取间隔的装置,逆电流器spatial cohere nee 空间相干spatial sig nature an alysis 空间信号分析specialty gase 特种气体species 种类specific gravity 上匕重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪sp in coati ng 光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric ( SOD)旋转介质法spin-on-glass ( SOG)旋转玻璃法spray clea ning 喷雾清洗spray rin ser 喷雾清洗槽spreadi ng resista nce probe 扩散电阻探测sputter n.喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputteri ng 溅射sputter etch 溅射刻蚀sputtered alum inum 溅射铝半导体术语表第40页共68页sputteri ng yield 溅射产额SSI小规模集成电路stacki ng fault层积缺陷,堆垛层错sta ndard clea n 1(SC-1) 1 号清洗液sta ndard clea n 2(SC-2) 2 号清洗液sta ndard mecha nical in terface(SMIF) 机械标准接口standing wave 驻波static RAM 静态存储器statistical process control ( SPC)统计过程控制step coverage 台阶覆盖step height台阶高度step-a nd-repeat alig ner 分步重复光刻机step-and-scan system 步进扫描光刻机stepper步进光刻机steppi ng motor driver 步进电机驱动器电路stepper步进光刻机stoichiometry 化学计量(配比) staggle投射标准偏差stress应力striati on 条纹strip vt.剥,剥去n. 条,带strippi ng 去胶structure 结构subatmospheric CVD 亚大气压化学气相淀积半导体术语表第41页共68页submicro n 亚微米sub-quarter micron 亚0.25 微米substrate 衬底sublimati on 升华substituti onal atom 替位原子subtract v.(〜from)减去, 减subwaverle ngth lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompressi on bonding 热压键合thermocouple 热电偶thermogravimetric an alysis (TGA) 热重量分析thermoso nic bon di ng 热超声键合thin film 薄膜半导体术语表第42页共68页thin small outli ne package(TSOP) 薄小型圭寸装III-V compou nd 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS仃OF-SIMS) 飞行时间二次离子质谱tita nium silicide 钛硅化合物TLV极限域值top surface imag ing 上表面图形topography 形貌torr 托toxic 有毒track system(also track) 轨道系统tran sie nt enhan ced diffusio n仃ED) 瞬时增强扩散tran sistor 晶体管trench 槽trench capacitor 槽电容trichlorosila ne(TCS or SiHCL3) 三氯氢硅triode pla nar reactor三真空管平面反应室triple well 三阱trivale nt 三价tun gste n(W)钨tun gste n stch back 钨反刻tun gsten hexafluoride(WF6) 六氟化钨tun gste n plug 钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin pla nes(tw inning) 双平面半导体术语表第43页共68页twin-well(twi n-tub) 双阱UULSI甚大规模集成电路ultralow pen etration air(ULPA) 超低穿透空气ultrafiltration 超过滤ultrafi ne particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow jun ctio n 超声键合(压焊)ultraviolet 紫外线undercut 钻蚀un iformity 均匀性unit cell 元包,晶胞un patter ned etchi ng(spripp ing) 无图形刻蚀(剥离)un patter ned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand 真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE) 气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜半导体术语表第44页共68页vaporizati on 气化variable n.[数]变数,可变物,变量adj. 可变的, 不定的,易变的,[数]变量的variable angle spectriscipic ellipsometry(VASE) 可变角度椭偏仪variation n.变更,变化,变异,变种,[音]变奏,变调various adj.不同的,各种各样的,多方面的,多样的vertical furn ace 立式炉via通孑Lviscous flow 粘滞流VLSI超大规模集成电路volatile memory 挥发性存储器volatile 挥发voltage regulator 温压器Wwafer cassette 硅片架wafer charg ing 硅片充电wafer electrical test(WET) 硅片电学测试wafer etch硅片刻蚀wafer flat or notch 硅片定位边或定位凹槽半导体术语表第45页共68页wireb on di ng 引线键合wiri ng 连线withi n-wafer nonun iformity(WIWNU) 片内不均匀性XX-ray X射线X-ray fluoresce nce(XRF) X 射线荧光性半导体术语表第46页共68页X-ray lithography X 射线光刻X-ray photoelectron spectroscopy ( XPS) X 射线光电能谱仪YYield 成品率Yield man agem ent system 成品率管理系统ZZeta potential zeta 电势zone n.地域,地带,地区,环带,圈vt.环绕,使分成地带vi.分成区半导体术语表第47页共68页10. Ammonium fluoride : NH4F11. Ammonium hydroxide : NH4OH12. Amorphous silicon : a -Si,非晶硅(不是多晶硅)13. An alog :模拟的14. Angstrom : A (1E-10m)埃15. An isotropic :各向异性(如POLY ETCH16. AQL(Accepta nce Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质量标准 (不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(A ntireflective coat in g) :抗反射层(用于METAL等层的光刻)18. An tim on y(Sb)锑19. Argon (Ar)氩20. Arse nic(As) 砷21. Arsen ic trioxide(As2O3) 三氧化二砷22. Arsi ne(AsH3)23. Asher :去胶机24. Aspect ration :形貌比(ETCH中的深度、宽度比)25. Autodopi ng :自搀杂(外延时SUB的浓度高,导致有杂质半导体术语表第48页共68页蒸发到环境中后,又回掺到外延层)26. Back end :后段(CONTACT S后、PCM测试前)27. Baseli ne :标准流程28. Benchmark :基准29. Bipolar :双极30. Boat :扩散用(石英)舟31. CD : ( Critical Dimension )临界(关键)尺寸。

半导体工艺中的英语词汇

半导体工艺中的英语词汇

AAbrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件Aluminum(Aluminium)铝Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog)comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS)砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB)分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship)爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV)电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error function complement (erfc)余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数GGain 增益Gallium-Arsenide(GaAs)砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗Graded 缓变的Graded (gradual)channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂质散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET)结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁LLatch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED)发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM)多芯片模块Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化PPackage 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB)印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi - Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可*性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride(Si3N4)氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT)热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关TTailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress)晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing)隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关VVacancy 空位Vacuum 真空Valence(value)band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿。

半导体常用英语词汇

半导体常用英语词汇

MFG 常用英文单字Semiconductor半导体导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。

导体:金、银、铜、铁、人、水……导电系数大,传导绝缘体:塑料、木头、皮革、纸……导电系数小、传导不半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类Lot 批;一批芯片中最多可以有25片,最少可以只有一片。

ID Identification的缩写。

用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。

Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。

Lot ID 每一批芯片有自己的批号,叫Lot ID。

Part ID 各个独立的批号可以共享一个型号,叫Part ID。

WIP Work In Process,在制品。

从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。

一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片,称为Stage WIP。

Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。

Super Hot Run的优先级为1,视为等级最高,必要时,当Lo上一站加工时,本站便要空着机台等待Super Hot RuHot Run的优先级为2,紧急程度比Super Hot Run次一级。

Normal的优先级为3,视为正常的等级,按正常的派货原则视常班向生产指令而Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。

Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截Spec. 规格Specification的缩写。

产品在机台加工过程中,每一站均设定规格。

机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格内。

半导体、微电子专业英语单词

半导体、微电子专业英语单词

半导体、微电子专业英语单词半导体、微电子专业英语单词汇总有关半导体、微电子的专业英语单词都有哪些呢?以下是店铺整理的半导体、微电子专业英语单词汇总,欢迎参考!半导体、微电子专业英语单词11. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD: (Critical Dimension)临界(关键)尺寸。

半导体常用英语词汇

半导体常用英语词汇

MFG 常用英文单字Semiconductor半导体导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。

导体:金、银、铜、铁、人、水……导电系数大,传导容易绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。

Lot 批;一批芯片中最多可以有25片,最少可以只有一片。

ID Identification的缩写。

用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。

Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。

Lot ID 每一批芯片有自己的批号,叫Lot ID。

Part ID 各个独立的批号可以共享一个型号,叫Part ID。

WIP Work In Process,在制品。

从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。

一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片,称为Stage WIP。

Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。

Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在上一站加工时,本站便要空着机台等待Super Hot Run。

Hot Run的优先级为2,紧急程度比Super Hot Run次一级。

Normal的优先级为3,视为正常的等级,按正常的派货原则,或视常班向生产指令而定。

Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。

Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。

Spec. 规格Specification的缩写。

产品在机台加工过程中,每一站均设定规格。

机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格内。

半导体常用英语词汇

半导体常用英语词汇

MFG 常用英文单字Semiconductor半导体导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。

导体:金、银、铜、铁、人、水……导电系数大,传导容易绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。

Lot 批;一批芯片中最多可以有25片,最少可以只有一片。

ID Identification的缩写。

用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。

Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。

Lot ID 每一批芯片有自己的批号,叫Lot ID。

Part ID 各个独立的批号可以共享一个型号,叫Part ID。

WIP Work In Process,在制品。

从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。

一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片,称为Stage WIP。

Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。

Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在上一站加工时,本站便要空着机台等待Super Hot Run。

Hot Run的优先级为2,紧急程度比Super Hot Run次一级。

Normal的优先级为3,视为正常的等级,按正常的派货原则,或视常班向生产指令而定。

Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。

Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。

Spec. 规格Specification的缩写。

产品在机台加工过程中,每一站均设定规格。

机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格内。

半导体行业的英单词和术语

半导体行业的英单词和术语

半导体行业的英单词和术语1. Semiconductor(半导体):指一种导电性能介于导体和绝缘体之间的材料,广泛应用于电子器件中。

3. Integrated Circuit(集成电路):简称IC,将大量的微小电子元件(如晶体管、电阻、电容等)集成在一块半导体芯片上。

4. Transistor(晶体管):一种半导体器件,具有放大信号和开关功能,是现代电子设备的基础组件。

5. Diode(二极管):一种具有单向导通特性的半导体器件,常用于整流、稳压等电路。

6. MOSFET(金属氧化物半导体场效应晶体管):一种常见的晶体管类型,广泛应用于放大器和开关电路。

7. CMOS(互补金属氧化物半导体):一种集成电路技术,采用NMOS和PMOS晶体管组合,具有低功耗、高集成度等优点。

8. Wafer(晶圆):指经过切割、抛光等工艺处理的半导体材料,用于制造集成电路。

9. Photolithography(光刻):在半导体制造过程中,利用光刻技术将电路图案转移到晶圆上的过程。

10. Etching(刻蚀):在半导体制造过程中,通过化学反应或物理方法去除晶圆表面不需要的材料。

11.掺杂(Doping):在半导体材料中引入其他元素,以改变其导电性能。

12. Chip(芯片):指经过封装的集成电路,是电子设备的核心组成部分。

13. PCB(印刷电路板):一种用于支撑和连接电子元件的板材,上面布满了导电线路。

14. Moore's Law(摩尔定律):指集成电路上可容纳的晶体管数量大约每两年翻一番,预测了半导体行业的发展趋势。

15. EDA(电子设计自动化):指利用计算机软件辅助设计电子系统,包括电路设计、仿真、验证等环节。

16. Foundry(代工厂):专门为其他公司生产半导体芯片的企业。

17. Semiconductor Equipment Manufacturer(半导体设备制造商):为半导体行业提供生产设备的公司。

半导体一些术语的中英文对照

半导体一些术语的中英文对照

半导体一些术语的中英文对照离子注入机ion implanterLSS理论Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。

沟道效应channeling effect射程分布range distribution深度分布depth distribution投影射程projected range阻止距离stopping distance阻止本领stopping power标准阻止截面standard stopping cross section 退火annealing激活能activation energy等温退火isothermal annealing激光退火laser annealing应力感生缺陷stress-induced defect择优取向preferred orientation制版工艺mask-making technology图形畸变pattern distortion初缩first minification精缩final minification母版master mask铬版chromium plate干版dry plate乳胶版emulsion plate透明版see-through plate高分辨率版high resolution plate, HRP超微粒干版plate for ultra-microminiaturization 掩模mask掩模对准mask alignment对准精度alignment precision光刻胶photoresist又称“光致抗蚀剂”。

负性光刻胶negative photoresist正性光刻胶positive photoresist无机光刻胶inorganic resist多层光刻胶multilevel resist电子束光刻胶electron beam resistX射线光刻胶X-ray resist刷洗scrubbing甩胶spinning涂胶photoresist coating后烘postbaking光刻photolithographyX射线光刻X-ray lithography电子束光刻electron beam lithography离子束光刻ion beam lithography深紫外光刻deep-UV lithography光刻机mask aligner投影光刻机projection mask aligner曝光exposure接触式曝光法contact exposure method接近式曝光法proximity exposure method光学投影曝光法optical projection exposure method 电子束曝光系统electron beam exposure system分步重复系统step-and-repeat system显影development线宽linewidth去胶stripping of photoresist氧化去胶removing of photoresist by oxidation等离子[体]去胶removing of photoresist by plasma 刻蚀etching干法刻蚀dry etching反应离子刻蚀reactive ion etching, RIE各向同性刻蚀isotropic etching各向异性刻蚀anisotropic etching反应溅射刻蚀reactive sputter etching离子铣ion beam milling又称“离子磨削”。

半导体专业英语词汇

半导体专业英语词汇

半导体专业词汇1。

acceptance testing (W AT: wafer acceptance testing)2. acceptor:受主,如B,掺入Si中需要接受电子3。

ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8。

Aluminum:铝9。

Ammonia:氨水10. Ammonium fluoride:NH4F11。

Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14。

Angstrom:A(1E-10m)埃15。

Anisotropic:各向异性(如POL Y ETCH)16。

AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19。

Argon(Ar)氩20。

Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22。

Arsine(AsH3)23。

Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30。

Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体一些术语的中英文对照

半导体一些术语的中英文对照

半导体一些术语的中英文对照半导体一些术语的中英文对照离子注入机ion implanterLSS理论Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。

沟道效应channeling effect射程分布range distribution深度分布depth distribution投影射程projected range阻止距离stopping distance阻止本领stopping power标准阻止截面standard stopping cross section退火annealing激活能activation energy等温退火isothermal annealing激光退火laser annealing应力感生缺陷stress-induced defect择优取向preferred orientation制版工艺mask-making technology图形畸变pattern distortion初缩first minification精缩final minification母版master mask铬版chromium plate干版dry plate乳胶版emulsion plate透明版see-through plate高分辨率版high resolution plate, HRP超微粒干版plate for ultra-microminiaturization 掩模mask掩模对准mask alignment对准精度alignment precision光刻胶photoresist又称“光致抗蚀剂”。

负性光刻胶negative photoresist正性光刻胶positive photoresist无机光刻胶inorganic resist多层光刻胶multilevel resist电子束光刻胶electron beam resistX射线光刻胶X-ray resist刷洗scrubbing甩胶spinning涂胶photoresist coating后烘postbaking光刻photolithographyX射线光刻X-ray lithography电子束光刻electron beam lithography离子束光刻ion beam lithography深紫外光刻deep-UV lithography光刻机mask aligner投影光刻机projection mask aligner曝光exposure接触式曝光法contact exposure method接近式曝光法proximity exposure method光学投影曝光法optical projection exposure method 电子束曝光系统electron beam exposure system分步重复系统step-and-repeat system显影development线宽linewidth去胶stripping of photoresist氧化去胶removing of photoresist by oxidation等离子[体]去胶removing of photoresist by plasma 刻蚀etching干法刻蚀dry etching反应离子刻蚀reactive ion etching, RIE各向同性刻蚀isotropic etching各向异性刻蚀anisotropic etching反应溅射刻蚀reactive sputter etching离子铣ion beam milling又称“离子磨削”。

半导体英语词汇大全

半导体英语词汇大全

11. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)223. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体实用英日汉总结

半导体实用英日汉总结

半导体实用英语词汇半导体实用英语词汇1. acceptance testing (WAT: wafer acceptance testing-晶圆验收测试)ウェーハの受け入れテスト2. acceptor: 受主,接受器(无线)如B,掺入Si中需要接受电子アクセプター;受容体3. ACCESS(アクセス):一个EDA(Engineering Data Analysis-工程数据分析-エンジニアリングデータ解析)系统4. Acid:酸(さん)5. Active device(アクティブデバイス):有源器件(Active:积极,主动),如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记合わせマーク7. Alloy:合金(ごうきん)8. Aluminum:铝(アルミニウム)9. Ammonia:氨水(アンモニア)10. Ammonium fluoride:NH4F(氟化铵)弗化アンモニウム11. Ammonium hydroxide:NH4OH(氢氧化铵)水酸化アンモニウム12. Amorphous silicon(アモルファスシリコン):α-Si,非晶硅(不是多晶硅)13. Analog(アナログ):模拟的14. Angstrom(オングストローム):A(1E-10m)埃15. Anisotropic(異方性):各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)受け入れ品質のレベル17. ARC(Antireflective coating反射防止膜):抗反射层(用于METAL(金属)等层的光刻)18. Antimony(Sb)锑アンチモン19. Argon(Ar)氩アルゴン20. Arsenic(As)砷砒素(ひそ)21. Arsenic trioxide(As2O3)三氧化二砷(三酸化砒素)22. Arsine(AsH3)砷化氢アルシン23. Asher:去胶机アッシャー24. Aspect ratio(アスペクト比)n:形貌比(ETCH中的深度、宽度比)25. Auto doping(オートドーピング):自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end(バックエンド):后段(CONTACT(接触)以后、PCM测试前)27. Baseline(ベースライン):标准流程28. Benchmark(ベンチマーク):基准29. Bipolar(バイポーラ):双极30. Boat(ボート):扩散用(石英)舟31. CD:(Critical Dimension---限界寸法)临界(关键)尺寸。

半导体专业术语英语..

半导体专业术语英语..

半导体专业术语英语半导体是当今最重要的技术领域之一。

随着半导体技术的不断发展,半导体专业术语英语越来越重要。

在本文中,我们将介绍一些常见的半导体专业术语英语,帮助读者更好地理解和掌握半导体技术。

基本概念1.Semiconductor:半导体2.Doping:掺杂3.Carrier:载流子4.Hole:空穴5.Electron:电子6.Bandgap:能隙7.Mobility:迁移率8.Resistivity:电阻率9.Conductivity:电导率10.PN Junction:PN结11.Schottky Junction:肖特基结半导体晶体结构1.Crystal:晶体ttice:晶格3.Unit Cell:单元胞4.Wafer:晶片5.Silicon Wafer:硅晶片6.Epitaxy:外延7.Deposition:沉积8.Etch:蚀刻9.Annealing:退火典型器件1.Transistor:晶体管2.Diode:二极管3.Capacitor:电容器4.Resistor:电阻器5.Inductor:电感器6.MOSFET:MOS场效应晶体管7.BJT:双极性晶体管8.LED:发光二极管9.IGBT:绝缘栅双极晶体管10.SCR:可控硅制程工艺1.Lithography:光刻2.Ion Implantation:离子注入3.Chemical Vapor Deposition (CVD):化学气相沉积4.Physical Vapor Deposition (PVD):物理气相沉积5.Wet Etch:湿法蚀刻6.Dry Etch:干法蚀刻7.Annealing:退火8.Configurations:构型9.Metrology:计量学10.Yield:良率11.Process Integration:制程集成半导体技术对现代社会的影响越来越大,而英语是半导体专业中的重要工具之一。

学习和掌握半导体专业术语英语,有助于提高在半导体行业的各种交流和合作能力。

半导体专业术语(中英对照)

半导体专业术语(中英对照)
Recipe: 程式 PM(Prevention Maintenance): 预防保养 Alarm :警讯 OI (Operation Instruction) :规定的标准的正确操作机台的方法的文件
1
ppt课件
1
2
ppt课件
2
3
ppt课件
34ppt课件45ppt课件
5
6
ppt课件
6
7
ppt课件
7
8
ppt课件
8
此课件下载可自行编辑修改,此课件供参考! 部分内容来源于网络,如有侵权请与我联系删除!感谢你的观看!

感 谢 阅 读
感 谢 阅 读
半导体专业词汇汇总
2023最新整理收集 do something
Semiconductor:半导体
MFG (Manufacture):制造部
Wafer :晶片
Boule:晶锭
Ingot:晶棒
As cut wafer:毛片
Particle:含尘量/微尘粒子 Pod :晶盒 Cassette: 晶片夹 Clean Room:洁净室(Class 100000 以上) MO( Miss Operation):误操作 Process Engineering:制程工程师,简称为P.E.简单称为制程。 Equipment Engineering:设备工程师,简称为E.E.简单称为设备。

半导体制造常用英语词汇

半导体制造常用英语词汇
半导体常用词汇
MES (Manufacturing Execution System) ERC (Emergency Response Center) ISO 9000 (International Standard Organization) ISEP(Industrial Safety Environment Protection) HR (Human Resource) ENG. (Engineering) PC(production control) TD (Technical Development) EAP(Equipment Automation Project) IT (Information Technology) DCC (Document Control Center) A Air Shower 空气洁净室 Alarm 警讯 Average 平均 APD 异常处理单 Abort 放弃 Acid 酸 Auto/Manual 自动/手动 AMHS 自动化物料传输系统 Area 区域 B Batch 群;组 Back up 备用 Bay rack 货架 Bay 工作区 Bank 储存所 C Cancel 取消 Clean room 无尘室 Cassette 装晶片的晶舟 Chemical 化学药剂 Check 检查;核对 CIM 电脑整和制造 Class 洁净室等级 CMP 化学机械研磨 Child lot 子批 Correct 正确 Cycle time 生产周期 Code 代码 Control 控制 Chip (die) 晶粒 Comment 注解 Critical layer 重要层 Confirm 确认 Chamber 反应室 CD 关键性尺寸 Cart 手推车 Chart 图表 Control Wafer 控片 D Dummy wafer 挡片 生產操作介面軟件 緊急應變中心 国际标准组织 工安环保 人事部 工程部 生产控制 技术研发部门 机台自动化方案 信息技术部门 文件管制中心 Daily check 每天检查 Diffusion 扩散 DI water 去离子水 Damage 损害 Display 展示 Double 重复;加倍 Defect 缺陷 Doping 掺杂 Downgrade 降级 Due date 交期 Discipline 纪律 E Etch 蚀刻 Error 错误 Equipment Engineer 设备工程师(EE) Emergency 紧急状况 Exit 退出 Entry 进入 Energy 能量 Environment 环境 GA (General Affairs) 总务部 Finance 财务部 PR(public relation) 公关部 Training room 训練教室 Shuttle bus 交通車 Training Course 训練课程 Internet 国际互联网络 MFG (Manufacture) 制造部 MPC(Manufacture Production Control)制造生产控制 CIM(Computer Integration Manufacture)电脑整和制造 TPC (Training & Promotion Center)训练发展中心 EQ rack 机台货架 EQ Status 机台状态 F Foundry 代工 Fail 失败 FAB 工厂 Filter 过滤器 Function 功能 G Gas 气体 Gowning room 更衣室 H Hold 暂停 Hot bake 烘烤 I Integrated Circuits 集成电路 (I.C) Idle 闲置 Implant 植入 Inter bay 自动传输轨道系统 ID(Identification) 辨认,鉴定 IPA 有机清洁溶剂 L Layer 层次 Lot 批 Line 线距 Loop 巡路 Load 载入 Log sheet 记录本 Logo 标志 Location 位置 Login 登录 Logout 退出 Lot Status 产品状态 Laundry 洗衣房 Lounge 休息室 M Machine 机器 Module 部门 Move 产量 Monitor 测机 Merge 合并 Micron 微米
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

AAbrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件Aluminum(Aluminium)铝Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog)comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS)砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB)分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship)爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV)电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error function complement (erfc)余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数GGain 增益Gallium-Arsenide(GaAs)砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗Graded 缓变的Graded (gradual)channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂质散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET)结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁LLatch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED)发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM)多芯片模块Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化PPackage 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB)印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi - Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可*性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride(Si3N4)氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT)热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关TTailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress)晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing)隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关VVacancy 空位Vacuum 真空Valence(value)band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿。

相关文档
最新文档