数字电子技术基础EDA大作业2终结报告(2016秋)

合集下载

EDA实验报告完结版

EDA实验报告完结版

EDA实验报告完结版《EDA技术基础实验报告》学院:信息科学技术学院学号姓名:专业:电子信息工程实验一 MAX-plusⅡ及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、实验设备PC机一台,DXT-B3 EDA实验系统一台三、实验内容1、在E盘上建立一个文件夹,点开MAX-plusⅡ菜单,选择Graphic Editor,在图形编辑器中画出电路图,如图所示1.1,设计一个半加器,进行编译、仿真,并将其设置成为一元件,编译之前必须将文件设为当前文件。

2、建立一个更高的原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件,编译之前必须将文件设为当前文件。

3、再建立一个更的原理图设计层次,如图1.3所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。

然后点开Node/Enter Node Form SNF输入端口,然后输入波形,选择MAX-plusⅡ/Simulator进行仿真。

实验原理图如下:图1.1 半加器电路原理图- 1 -图1.2 全加器电路原理图图1.3 4位全加器电路原理图- 2 -四、实验结果将四位全加器原理图进行仿真,可以得出如下仿真图- 3 -实验二秒表的设计一、实验目的:1、熟练利用VHDL语言进行数字系统设计;2、掌握数字系统的设计方法――自顶向下的设计思想;3、掌握计数器的设计与使用;4、根据秒表的功能要求设计一个秒表;二、实验设备:PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干三、实验要求:1、有秒、分计数,数码扫描显示输出;2、有清零端和计数使能端;3、在功能允许的情况下,可自由发挥;四、实验原理:1、功能描述:秒表是一种计时的工具,有着很广泛的用途。

本实验中的秒表要求有两个功能按钮:一个是计数和停止计数按钮,当第一次按下此按钮时,秒表开始计数,再一次按下时,秒表停止计数,并显示所计的数字;另一个是清零按钮,当按下此按钮时,秒表清零。

EDA实训总结报告

EDA实训总结报告

EDA实训总结报告EDA实训总结报告合肥学院学生EDA实训总结报告合肥学院电子系EDA实训总结报告系别电子系专业电子信息工程班级姓名年少轻狂学号指导老师成绩201*年9月8日EDA实训总结报告合肥学院电子系09级电子信息工程,姓名:李金山学号:0905075006摘要:经过两周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。

我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。

一、电路原理图及元器件库设计1.原理图设计电路原理图的设计主要是protel99se的原理图设计系统(AdvancedSchematic)来绘制一张电路原理图。

在这一过程中,要充分利用protel99se所提供的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。

绘制简单电路原理图过程:首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel99se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建网络表;最后,加载网络表。

例如如下时钟电路原理图:当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。

生成网络表和元器件材料清单。

电气规则测试:分析检查报告内容,修改错误。

时钟电路网络表2.元器件库设计在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。

我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。

如:我们可以根据所需建立一个自己的元器件库,当我们需要时,就可添加进去,直接使用即可。

数字电子技术基础课后习题及参考答案

数字电子技术基础课后习题及参考答案

《数字电子技术基础》课后习题及参考答案(总90页)-CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除第1章习题与参考答案【题1-1】将下列十进制数转换为二进制数、八进制数、十六进制数。

(1)25;(2)43;(3)56;(4)78解:(1)25=(11001)2=(31)8=(19)16(2)43=(101011)2=(53)8=(2B)16(3)56=(111000)2=(70)8=(38)16(4)(1001110)2、(116)8、(4E)16【题1-2】将下列二进制数转换为十进制数。

(1);(2);(3);(4)解:(1)=177(2)=170(3)=241(4)=136【题1-3】将下列十六进制数转换为十进制数。

(1)FF;(2)3FF;(3)AB;(4)13FF解:(1)(FF)16=255(2)(3FF)16=1023(3)(AB)16=171(4)(13FF)16=5119【题1-4】将下列十六进制数转换为二进制数。

(1)11;(2)9C;(3)B1;(4)AF解:(1)(11)16=(00010001)21(2)(9C)16=()2(3)(B1)16=(1011 0001)2(4)(AF)16=()2【题1-5】将下列二进制数转换为十进制数。

(1);(2);(3);(4)解:(1)()2=(2)()2=(3)()2=【题1-6】将下列十进制数转换为二进制数。

(1);(2);(3);(4)解:(1)=()2(2)=()2(3)=()2(4)=()2【题1-7】写出下列二进制数的反码与补码(最高位为符号位)。

(1)01101100;(2);(3);(4)解:(1)01101100是正数,所以其反码、补码与原码相同,为01101100(2)反码为,补码为(3)反码为,补码为(4)反码为,补码为【题1-8】将下列自然二进制码转换成格雷码。

eda实验总结报告

eda实验总结报告

eda实验总结报告本文是关于EDA实验的总结报告。

EDA全称Exploratory Data Analysis,即探索性数据分析。

本次实验旨在通过对数据的探索性分析,更好地理解数据的特征,为后续的数据建模和分析提供基础。

一、实验目的本次实验的主要目的是通过探索性数据分析,全面了解数据的情况和属性,包括数据的分布、离群值、缺失值等等,为后续的数据处理和建模提供基础。

二、实验数据实验数据为一份包含39个字段的广告数据集,该数据集每行为一条记录,包含了广告的各项属性和指标。

数据集的字段包括:序号、时间、广告类型、广告尺寸、广告位置、出价、曝光量、点击量、点击率等。

三、实验步骤1. 导入数据首先,我们需要读取并分析数据,以确定数据集的基本特征。

在本次实验中,我们使用了Python中的pandas库来读取和处理数据。

2. 数据探索基于导入的数据,我们需要进行数据探索,分析数据的各种特征和属性。

具体包括以下几点:(1)统计数据基本特征,包括字段数量、数据类型、缺失值、异常值等等;(2)分析数据的分布情况,包括分布密度、分布频率等等;(3)分析数据的标签分布情况,包括正负样本比例、标签分布情况以及样本类别的不平衡性等等;(4)探索数据之间的关联性,包括特征之间的相关性、关联度等等;(5)对数据进行可视化展示,包括直方图、散点图、箱线图等等。

通过对数据的探索,我们可以更好地了解数据的基本特征,挖掘数据中的规律和特征。

四、实验结果通过对数据的探索性分析,我们得到了以下结论:(1)数据特征经过初步分析可以看出,该数据集包含39个字段,其中有19个字段为数值型特征,16个字段为分类型特征,以及4个字段为时间型特征。

通过观察可以发现,该数据集中的异常值较少,而缺失值相对较多。

(2)数据分布经过数据分布的分析,我们发现该数据集的分布情况呈现长尾分布,即数据中存在大量较小的值和少量的较大的值。

(3)标签分布通过对数据集中的标签进行分析,我们发现整个数据集的正样本比例约为30%,而负样本比例为70%。

eda实验报告最终版

eda实验报告最终版

实验一实验二1、24进制加法计数器的程序:LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count24 ISPORT(en,clk: IN STD_LOGIC;qa: out STD_LOGIC_VECTOR(3 DOWNTO 0);--个位数计数qb: out STD_LOGIC_VECTOR(1 DOWNTO 0));--十位数计数END count24;ARCHITECTURE a1 OF count24 ISBEGINprocess(clk)variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);variable tmb: STD_LOGIC_VECTOR(1 DOWNTO 0);beginif clk'event and clk='1' thenif en='1' thenif tma="1001" then tma:="0000";tmb:=tmb+1;Elsif tmb="10" and tma="0011" then tma:="0000";tmb:="00";else tma:=tma+1;end if;end if;end if;qa<=tma;qb<=tmb;end process;END a1;2,60进制的加法器的实验程序LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count60 ISPORT(en,clk: IN STD_LOGIC;qa: out STD_LOGIC_VECTOR(3 DOWNTO 0);--个位数计数qb: out STD_LOGIC_VECTOR(2 DOWNTO 0));--十位数计数END count60;ARCHITECTURE a1 OF count60 ISBEGINprocess(clk)variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);variable tmb: STD_LOGIC_VECTOR(2 DOWNTO 0);beginif clk'event and clk='1' thenif en='1' thenif tma="1001" and tmb="101"then tmb:="000";tma:="0000" ;Elsif tma="1001" then tma:="0000";tmb:=tmb+1;else tma:=tma+1;end if;end if;end if;qa<=tma;qb<=tmb;end process;END a1;实验三9、采用VHDL语言描述以上3-8译码器LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY s3_8 ISPORT ( A : IN STD_LOGIC_VECTOR(2 DOWNTO 0);D : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ;END ;ARCHITECTURE one OF s3_8 ISBEGINPROCESS( A )BEGINCASE A ISWHEN "000" => D <= "00000001" ;WHEN "001" => D <= "00000010" ;WHEN "010" => D <= "00000100" ;WHEN "011" => D <= "00001000" ;WHEN "100" => D <= "00010000" ;WHEN "101" => D <= "00100000" ;WHEN "110" => D <= "01000000" ;WHEN "111" => D <= "10000000" ;WHEN OTHERS => NULL ;END CASE ;END PROCESS ;END ;实验四1、用VHDL语言设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1,反之为0。

eda技术与实验报告

eda技术与实验报告

eda技术与实验报告
EDA技术与实验报告
近年来,EDA技术在电子设计领域发挥着越来越重要的作用。

EDA(Electronic Design Automation)技术是指利用计算机软件和硬件工具来设计、验证和生成
电子系统的过程。

它涵盖了从电路设计到芯片制造的整个流程,包括逻辑设计、物理设计、验证和仿真等各个环节。

在实验报告中,我们将重点介绍EDA技术在电子设计中的应用和优势。

首先,EDA技术可以大大提高设计效率和质量。

利用EDA工具,设计师可以快速完成
电路设计和验证,大大缩短了产品的上市时间。

其次,EDA技术可以帮助设计
师发现和解决潜在的设计问题,提高了设计的可靠性和稳定性。

此外,EDA技
术还可以实现自动化设计和优化,从而降低了设计成本和风险。

在实验中,我们使用了一款先进的EDA工具来设计和验证一个数字电路。

通过
该实验,我们深刻体会到了EDA技术的强大功能和优势。

在设计过程中,我们
可以通过EDA工具快速搭建电路原型,并进行逻辑仿真和时序分析,从而验证
设计的正确性和性能。

此外,EDA工具还提供了丰富的库元件和模型,可以大
大简化设计过程和提高设计的可靠性。

总的来说,EDA技术在电子设计领域发挥着不可替代的作用。

它不仅提高了设
计效率和质量,还为设计师提供了强大的工具和支持。

随着科技的不断发展,EDA技术将继续发挥着重要的作用,推动电子设计领域的进步和发展。

希望通
过本次实验报告的分享,可以让更多的人了解和认识EDA技术的重要性和价值。

电子技术基础实训总结900字(优秀范文4篇)

电子技术基础实训总结900字(优秀范文4篇)

电子技术基础实训总结900字(优秀范文4篇)关于电子技术基础实训总结,精选5篇优秀范文,字数为900字。

我很荣幸能够在这里向大家汇报我参与的数字电子技术实训的经验和收获。

在这次实训中,我深刻体验到了数字电子技术的魅力和应用广泛性,也对自己的知识储备和动手能力有了更深层次的认识和提升。

电子技术基础实训总结(优秀范文):1我很荣幸能够在这里向大家汇报我参与的数字电子技术实训的经验和收获。

在这次实训中,我深刻体验到了数字电子技术的魅力和应用广泛性,也对自己的知识储备和动手能力有了更深层次的认识和提升。

实训期间,我们团队的主要任务是设计和制作一个电子闹钟。

这个闹钟不仅具有基本的时间显示功能,还可以设置闹钟时间并在设定时间准确地发出铃声提醒。

这个项目不仅考验了我们的理论知识,还需要我们具备良好的团队合作精神和动手能力。

首先,我们小组通过深入学习数字电子技术的基本原理和知识,加深了对逻辑门、触发器、计数器等电路的理解和掌握。

我们学会了使用逻辑代数和布尔代数的方法来分析和设计数字电路,通过搭建实验电路,我们实际亲手体验了数字电子技术的实际操作过程,从而对理论知识有了更深入的了解。

其次,我们进行了详细的电路设计和制作工作。

我们使用CAD软件进行电路图的设计和模拟仿真,确保了电路的正确性和稳定性。

在制作过程中,我们遇到了很多困难和问题,例如电路连接问题、元器件选择问题等等,通过团队的合作和老师的指导,我们一一解决了这些问题,并最终完成了电路的制作和调试工作。

这个过程让我深刻体验到了动手能力的重要性,也使我更加自信地面对了将来可能遇到的挑战和困难。

最后,我们成功地制作了一个功能完善的电子闹钟,并在实训结束时进行了展示和演示。

这个电子闹钟不仅能够准确显示时间,还能够设定闹钟时间并在设定时间发出铃声,完成我们最初的设计目标。

通过这次展示,我们不仅获得了老师和同学们的认可,而且也加深了自己对数字电子技术的理解和应用能力。

EDA实验报告(两位十六进制计数器)

EDA实验报告(两位十六进制计数器)

EDA实验报告(两位十六进制计数器)计算机09-3班郑秀枫 09081311实验二两位十六进制计数器一、实验目的1、继续熟悉Quartus环境2、熟练掌握VHDL语言设计流程3、了解Verilog语言的基本使用4、熟悉DE2开发板上的时钟信号就LED显示器的使用二、实验任务1、完成第三章最后的实例,用7段数码管显示两位16进制数,clk输入用FPGA上的50M信号2、用Verilog HDL实现SW输入4位二进制数,用7段数码管按十进制显示输出同时用LED灯显示三、实验步骤1、用VHDL实现两位16进制计数器(1)新建VHDL源文件,命名为cn4e.vhd,设计实现一位16进制计数器,其代码如图2-1所示。

计算机09-3班郑秀枫 09081311图2-1 图2-2(2)新建VHDL源文件,命名为vhdl2s,设计实现七段数码管译码器,其代码如图2-2所示(3)新建VHDL源文件,命名为fenpin,设计实现分频电路,将输入的50MHz的时钟信号变为1Hz的时钟信号,其代码如图2-3所示图2-3 图2-4(4)新建VHDL源文件,命名为my_pkg,将上三步实现的原件例化到my_pkg程序包中,方便以后使用,其代码如图2-4所示。

(5)新建VHDL源文件,命名为counter16,利用已经例化的三个原件实现两位16进制计数器及输出到七段数码管显示的电路(如图2-5),保存后将其设置为顶层文件,编译查看是否有错误。

图2-5(6)新建波形文件,赋予每个输入端口某种输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。

若不正确,查找问题所在并解决问题;若正确,则进行管脚分配,分配完毕后再编译一次使分配生效,连接DE2开发板到电脑,将文件下载到开发板计算机09-3班郑秀枫 09081311进行验证。

2、用Verilog实现十进制数显示(1)新建Verilog源文件,实现SW输入4位二进制数,用7段数码管按十进制显示输出同时用LED灯显示,代码如图2-6所示图2-6(2)编译成功后,新建波形文件,赋予每个输入端口某种输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。

南京理工大学EDA2实验报告

南京理工大学EDA2实验报告

南京理工大学EDA(二)实验报告学号:姓名:学院:指导老师:时间: 2014年11月30日摘要:本实验通过使用 QuartusⅡ软件,并结合数字逻辑电路的知识设计多功能数字钟,可以实现正常的时、分、秒的计数功能,分别由六个数码管显示计时,可以利用开关实现系统的计时保持、清零和校分、校时、校星期的功能。

同时,该电路系统还可以完成在59'53'', 59'55'', 59'57''低音报时, 59'59''高音报时的基本功能。

在此基础上,本实验还设计了扩展功能,包括星期计时、校星期以及通过开关与门电路切换到秒表计时的功能。

我原本还尝试设计闹钟的功能,但是闹钟的扩展功能还不够完善,目前完成了切换显示部分,但是报时还存在缺陷。

在利用 QuartusⅡ进行相应的设计、仿真、调试后下载到 SmartSOPC 实验系统上验证设计的正确性。

关键词:QuartusII,数字钟,分频,计时显示,保持清零,校分校时校星期,报时,星期计数,秒表Abstract:This experiment is based on QuartusⅡ,with the help of knowledge regarding the digital logic circuits and system design,to design a multifunctional digital clock. The basic function of the multifunctional digital clock is a 24-hour timer, and the exact time can be showed by six led lights. Also we can achieve the functions like time keeping, clearing and time and week adjusting by using the switches. Beyond the basic function, I improved the multifunctional digital clock and it can beep in low frequency at 59'53'', 59'55'', 59'57'' and in high frequency at 59'59''. Based onthis the basic design,I also design extra functions,including week timer ,week-time adusting and the stopwatch which can be exchanged by using the switchs and several circuits of logic and doors.Also I intended to design the alarm clock.,but unfortunately,the extra function of alarm clock is not perfect.Currently,I just have finished the functions containing the parts of exchange and display.But the part of beeping still needs improved.All the designing and simulating work are based on QuartusⅡ. After all the work finished on computer, I downloaded the final circuit to SmartSOPC experiment system to test the accuracy of the design.Key words: QuartusⅡ, digital clock ,reckon by time and display,time keeping and clearing, time adjusting, chiming, week timer,stopwatch目录一、题目简介 (5)二、设计要求 (5)三、方案论证 (5)四、设计原理 (6)1 脉冲发生器 (6)2 计数器设计 (9)3 计时电路、校正电路 (12)4 报时电路 (15)5 译码显示器 (16)五、附加功能 (18)1 星期功能 (18)2 秒表功能 (18)3 倒计时器 (18)4 开关复用 (19)5 切换电路............................................................................................. 错误!未定义书签。

eda实验报告完整版

eda实验报告完整版

eda实验报告完整版EDA实验报告一、文献综述EDA,全称为Exploratory Data Analysis,是一种数据探索性分析方法。

EDA通过多种可视化工具和数据分析技术快速探索数据集的特征和结构,从而发现其中的规律和异常,确定数据的可靠性和种类。

EDA的主要目的在于对数据进行全面的分析和理解,为后续的数据处理和建模提供参考。

EDA作为数据预处理的重要步骤,在数据分析和建模中占据着重要的地位。

目前,随着数据收集、存储和分析技术的快速发展,EDA正在成为数据分析中不可缺少的部分。

在大数据时代,EDA的发展已经超越了其传统的数据探索性分析功能,成为了快速调试和优化模型的重要手段。

二、实验目的本次实验旨在掌握EDA技术方法和可视化工具,在实际数据集中进行数据预处理和探索性分析。

主要目标包括:1.掌握常用的EDA方法和可视化工具。

2.通过对实际数据集处理和分析,了解数据的特征和结构。

3.确定数据集的质量、可靠性和种类。

4.为后续的数据处理和建模提供参考。

三、实验流程1.数据集的加载和清洗本次实验选用的数据集为Iris数据集,包含了鸢尾花的三个品种(Setosa、Versicolour、Virginica)的四个特征(sepal length、sepal width、petal length、petal width)共150个样本。

由于Iris数据集已经经过处理,因此不需要进行特殊的预处理。

为了更好地探索Iris数据集,我们将其存储为dataframe格式,以方便进行数据的各类统计和可视化。

2.数据特征的可视化在数据特征的可视化中,我们使用了多种可视化工具包括:ggplot2和ggpubr。

下面是我们在R语言环境下所使用的代码。

# 加载ggplot2和ggpubrlibrary(ggplot2)library(ggpubr)#加载Iris数据集data("iris")df = iris# 1.绘制直方图hist <- ggplot(df, aes(x = Sepal.Length)) +geom_histogram(fill = "blue", alpha = .5, bins = 30) +ggtitle("Distribution of Sepal.Length")# 2.绘制密度图density <- ggplot(df, aes(x = Sepal.Width, fill = Species)) +geom_density(alpha = .5) +scale_fill_manual(values = c("#00AFBB", "#E7B800", "#FC4E07")) +ggtitle("Density plot of Sepal.Width")# 5.绘制箱线图boxplot <- ggplot(df, aes(x = Species, y = Sepal.Length, fill = Species)) + geom_boxplot() +ggtitle("Boxplot of Sepal.Length by Species")上述代码会生成6个图表,分别为直方图、密度图、散点图、热力图、箱线图和柱状图。

电子技术课程设计总结报告(合集5篇)

电子技术课程设计总结报告(合集5篇)

电子技术课程设计总结报告(合集5篇)第一篇:电子技术课程设计总结报告《电子技术》课程设计总结报告课程设计的课程名称:《模拟电子技术》与《数字电子技术》班级:08电气(1),(2),含08电子专业。

共127人。

时间:08电气(1)班在第16周,第17周。

08电气(2)班(含电子专业)在第18周,第19周。

地点:1号教学楼102教室,实训楼电子实训教室。

一:课程设计的目的运用已基本掌握的具有不同功能的单元电路的设计、安装和调试方法,在单元电路设计的基础上,设计出具有一定用途和一定工程意义的电子装置。

深化所学理论知识,培养综合运用能力,增强独立分析与解决问题的能力。

训练培养严肃认真的工作作风和科学态度,为以后从事电子电气方面的工作打下初步基础。

二:课程设计的题目与内容1.《模拟电子》设计的题目有:《集成稳压直流电源》《功率放大器》《负反馈放大电路》《电压/频率转换器》,以及相关的模拟电子设计题目。

实际焊接的为《集成稳压直流电源》,在实验室完成。

2.《数字电子》设计的题目有:《数字秒发生器》,《数字电容测试仪》《数字频率计》《数字温度计》《数字电子称》以及相关的数字电子设计题目。

实际焊接的为《数字秒发生器》,在实验室完成。

3.在机房进行EWB的培训,将自己的设计先在计算机上仿真,并出计算机仿真报告。

三:一周课程设计的具体安排1.课程设计一周计划书周一:上午1,2节课程设计动员以及任务书的下达上午3,4节课程设计报告讲解下午5,6节方案分析,集成稳压电路的分析,计算。

周二:上午图书馆查找资料,酝酿设计报告。

下午5,6节开始EWB培训。

周三:上午画出本次课程的电路并分析,打印。

进行实际计算与仿真的结果作比较分析,最终形成一个EWB培训报告。

周四:上午1,2节焊接培训上午3,4节发元器件,讲解,学生开始焊接。

下午5,6,7,8 做稳压电源的焊接与调试,让学生学会使用示波器调试。

最终交出自己的实物,教师评分。

周五:上午未完成调试的继续调试。

EDA实验总结报告

EDA实验总结报告

数字EDA实验实验报告学院:计算机科学与工程学院专业:通信工程学号: 0941903207 姓名:薛蕾指导老师:钱强实验一四选一数据选择器的设计一、实验目的1、熟悉Quartus II软件的使用。

2、了解数据选择器的工作原理。

3、熟悉EDA开发的基本流程.二、实验原理及内容实验原理数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路,可以采用数据选择器进行选择再对该路信号加以利用。

从多路输入信号中选择其中一路进行输出的电路称为数据选择器。

或:在地址信号控制下,从多路输入信息中选择其中的某一路信息作为输出的电路称为数据选择器.数据选择器又叫多路选择器,简称MUX。

4选1数据选择器:(1)原理框图:如右图.D0 、D1、D2、D3:输入数据A1 、A0 :地址变量由地址码决定从4路输入中选择哪1路输出.(2)真值表如下图:(3)逻辑图数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。

在应用中,设置一定的选择标志信号状态即可得到相应的某一路信号.这就是数据选择器的实现原理.三.实验内容1、分别采用原理图和VHDL语言的形式设计4选1数据选择器2、对所涉及的电路进行编译及正确的仿真.电路图:四、实验程序library ieee;use ieee.std_Logic_1164.all;ENTITY mux4 ISPORT(a0,a1, a2, a3 :IN STD_LOGIC;s :IN STD_LOGIC_VECTOR (1 DOWNTO 0);y :OUT STD_LOGIC );END mux4;ARCHITECTURE archmux OF mux4 ISBEGINy 〈= a0 WHEN s = "00”else ——当s=00时,y=a0a1 WHEN s = "01" else ——当s=01时,y=a1a2 WHEN s = "10”else --当s=10时,y=a2a3; --当s取其它值时,y=a2END archmux;五、运行结果六.实验总结真值表分析:当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3。

(完整word版)EDA实验报告完整版

(完整word版)EDA实验报告完整版

数字系统设计基础实验报告实验名称: 1.组合电路设计___2.失序电路设计___3.计数器的设计___4.原理图设计加法器学号: ___ ********__ ____**: ___ **_______班级: __ 计科09-1班_____老师: __ ______中国矿业大学计算机学院2011年10月27日一.实验一: 组合电路的设计二.实验目的三.熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、仿真和硬件测试。

四.实验任务任务1: 利用QuartusⅡ完成2选1多路选择器的文本编辑输入和仿真测试等步骤, 得出仿真波形。

最后在试验系统上进行硬件测试, 验证本项设计的功能。

五.任务2: 将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述电路图, 并将此文件放在同一目录中。

六.对于任务中的例子分别进行编译、综合、仿真, 并对其仿真波形作出分析说明。

七.实验过程1.新建一个文件夹, 取名CNT10。

2.输入源程序。

3.文件存盘, 文件名为cnt10, 扩展名为.vhd。

八.创建工程, 按照老师要求对软件进行设置。

九.进行失序仿真, 得到仿真图形。

十.实验程序任务1:entity CNT10 ISport (a,b,s:in bit;y:out bit);end entity CNT10;architecture one of CNT10 isbeginprocess (a,b,s)if s='0' then y<=a; else y<=b;end if;end process;end architecture one;任务2:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK ISPORT (s0,s1: in STD_LOGIC;a1,a2,a3: in STD_LOGIC;outy: out STD_LOGIC );END ENTITY MUXK;ARCHITECTURE double OF MUXK ISSIGNAL tmpout,tmp:STD_LOGIC;BEGINu1: PROCESS(s0,a2,a3,tmp)BEGINIF s0='0' then tmp<=a2;else tmp<=a3;END IF ;END PROCESS u1 ;u2: PROCESS(s1,a1,tmp,tmpout)BEGINIF s1='0' then tmpout<=a1;else tmpout<=tmp; END IF ;END PROCESS u2 ;outy<=tmpout;END ARCHITECTURE double;十一.实验结果任务1:任务2:十二.实验体会在课堂上对于“EDA与VHDL”这门课的用处及用法一直一知半解, 课上对于一些编程也是学的很模糊, 因为学习过模拟电路与数字电路, 所以总认为器件仿真要用电脑模拟器件或者直接用实物, 但是通过本次实验对QuartusⅡ的初步接触, 了解了其功能的强大。

EDA实验报告总结

EDA实验报告总结

EDA技术与应用实验报告学院:物理与电子学院专业班级:电子信息科学与技术1205 姓名:学号:目录实验一应用Quartus ΙΙ完成LED的驱动 (3)实验二组合逻辑电路的设计 (19)实验三时序逻辑电路的设计 (32)实验四:数字时钟设计 (45)实验五数据采集系统设计 (59)实验六序列检测器设计 (74)实验一应用Quartus ΙΙ完成LED的驱动一、实验目的通过实验让用户逐步了解、熟悉和掌握FPGA开发软件Quartus ΙΙ的使用方法及VHDL的编程方法。

本实验力求以详细的步骤和讲解让读者以最快的方式了解EDA技术开发以及软件的使用而快速入门,并激起读者对EDA技术的兴趣。

二、实验内容SmartSOPC 实验箱上有8个发光二极管LED1~LED8,在QuikSOPC 核心板上LED1~LED8分别于FPGA芯片的第50、53~55、176和第47~49引脚相连(SmartSOPC 实验箱的LED1~LED8通过跳线JP6的LED0~LED7分别与FPGA的第50、53~55、176和第47~49引脚相连)。

本实验的内容是建立可用于控制LED亮/灭的简单硬件电路,要求点亮SmartSOPC试验箱上的4个发光二极管(LED1、LED3、LED5、LED7)。

具体包括:①使用Quartus ΙΙ建立工程;②Quartus ΙΙ工程设计;③设置编译选项并编译硬件系统;三、实验原理FPGA器件同单片机一样,为用户提供了许多灵活独立的输入/输出I/O口(单元)。

FPGA每个I/O口可以配置为输入、输出、双向I/O、集电极开路和三态门等各种组态。

做为输出口时,FPGA的I/O口可以吸收最大为24mA的电流,可以直接驱动发光二极管LED等器件。

所以只要正确分配并锁定引脚后,在相应的引脚上输出低电平“0”,就可实现点亮该发光二极管的功能。

四、实验步骤使用Quartus ΙΙ建立工程打开Quartus II软件并建立工程①在Windows桌面上选择“开始”→“程序”→“Altera”→Quartus ΙΙ 9.0,打开Quartus ΙΙ 9.0软件,软件界面如图1所示。

湖南理工学院数字电路与EDA技术学习大总结

湖南理工学院数字电路与EDA技术学习大总结

《数字电路与EDA技术》学习总结《数字电路》1 数字逻辑概论1.2 数制二、八、十、十六进制的相互转换1.3 二进制数的算术运算原码、反码和补码的表示1.4 二进制代码8421BCD、5421BCD、余3码1.5 二值逻辑变量与基本逻辑运算与、或、非、与非、或非、同或、异或运算的性质和逻辑符号2 逻辑代数与硬件描述语言基础2.1 逻辑代数逻辑代数的基本定律和恒等式2.2 逻辑代数的卡诺图化简法最小项和无关项的概念;卡诺图的化简依据:几何相邻同时逻辑相邻;卡诺图的化简原则:卡诺圈尽可能少和大4 组合逻辑电路4.3 组合逻辑电路中的竞争冒险竞争冒险的概念和消除方法4.4 若干典型的组合逻辑集成电路3线-8线译码器74HC138的逻辑符号、逻辑方程、3输入端的函数实现;8选1数据选择器74HC151的逻辑符号、逻辑方程、3输入端的函数实现5 锁存器和触发器5.2 锁存器SR锁存器和D锁存器的逻辑符号和工作模式5.4 触发器的逻辑功能D触发器、JK触发器、T触发器、SR触发器的特性方程和工作模式6 时序逻辑电路6.1 时序逻辑电路的基本概念同步和异步时序电路的概念;时序电路的逻辑方程组:输出方程组、激励方程组和状态方程组;状态表和状态图的结构6.2 同步时序电路的分析Mealy型和Moor型状态机的概念;同步时序电路的分析流程:电路→方程组→状态表→状态图→功能常见功能:加法/减法计数器、序列编码检测器、脉冲分配器6.5若干典型的组合逻辑集成电路4位双向移位寄存器74HC194的工作模式;4位同步二进制加法计数器74HC161:同步置数、异步清零;4位同步二进制加法计数器74HC163:同步置数和清零;反馈清零法和反馈置数法实现任意进制计数器8 脉冲波形的变换与产生单稳态触发器、施密特触发器和多谐振荡器的工作特性9 模数与数模转换器9.2.1 A/D转换的一般工作过程采样、量化和编码的概念《EDA技术》1 EDA技术概述1.3 数字设计的流程输入→综合→仿真→编程/配置2 FPGA/CPLD器件2.1.2 PLD器件的分类基于乘积项的CPLD和基于查找表的FPGA4 Verilog设计初步4.2 Verilog模块的结构模块声明、端口定义、信号类型说明、逻辑功能定义4.4 Verilog基本时序电路设计D触发器和加法计数器的设计5 Verilog语法与要素5.2.1 整数整数的表示:+/-<位宽>’<进制><数字>;b 二进制、d 十进制、o 八进制、h 十六进制5.3 数据类型net型:物理连接,模块输出端口或由assign语句赋值,包括wire和tri型;variable型:由always语句赋值,包括reg和integer型5.6 运算符算术、逻辑、位、关系、等式、缩位、移位、条件、位拼接运算符6 Verilog行为语句6.1.1 always过程语句always @(<敏感信号表达式>)begin// 过程赋值// if-else, case条件语句// for循环语句// task, function调用end上升沿posedge、下降沿negedge6.3 赋值语句持续赋值语句assign:wire型信号;过程赋值语句:reg型信号,包括非阻塞赋值和阻塞赋值;非阻塞赋值:<=,过程块结束时才完成赋值,并行;阻塞赋值:=,语句结束时即完成赋值,穿行6.4 条件语句60进制8421BCD码加法计数器7 Verilog设计的层次与风格7.1 Verilog设计的层次Verilog设计的层次:系统级、算法级、寄存器传输级、门级、开关级;Verilog设计的风格:结构、行为、数据流7.7.2 编译码器3-8译码器的设计7.8.1 触发器JK触发器的设计7.8.2 锁存器与寄存器锁存器和寄存器的设计。

数字电子技术实验总结

数字电子技术实验总结

数字电子技术实验总结数字电子技术实验总结总结是对某一特定时间段内的学习和工作生活等表现情况加以回顾和分析的一种书面材料,它能使我们及时找出错误并改正,因此十分有必须要写一份总结哦。

那么你真的懂得怎么写总结吗?下面是小编精心整理的数字电子技术实验总结,仅供参考,欢迎大家阅读。

数字电子技术实验总结1这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。

这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。

通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。

在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验:1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。

2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

EDA实验二总结报告

EDA实验二总结报告

实验二数字秒表设计一、实验目的1、理解计时器的原理与V erilog/VHDL的编程方法;2、掌握多模块设计及层次设计的方法。

二、实验原理秒计时器是由计数器和译码器、显示器组成,其核心是计数器与译码器。

60 秒计时器可由二个计数器分别完成:个位为十进制计数器,十位为6 进制计数。

个位计数器的计数信号由实验开发板上主频20MHZ分频产生的1Hz 时钟信号提供, 十位计数器的计数信号由个位的进位信号提供。

然后由译码器对计数结果进行译码,送LED 数码管进行显示。

Clr为清零,se t为开始。

三、实验框图四、实验任务1、采用层次设计的方法,设计一个包括顶层及底层模块的60 秒计时器,底层模块用Verilog/VHDL设计,顶层用原理图设计。

2、秒计时器应当具有系统复位功能;3、每十秒发出提示信号及计满60 秒时发出报警信号。

(选做)五、实验步骤与要求1、分模块设计:首先分别设计10 进制、6 进制计数器、译码器模块;2、顶层原理图如图7-1 所示;3、编译完成后进行波形仿真;4、进行引脚锁定,并下载至开发系统验证。

六、分模块设计1.十进制计数器(1)程序代码:module CNT10(CLK,RST,EN,COUT,DOUT);input CLK,EN,RST;output [3:0]DOUT;output COUT;reg[3:0]Q1;reg COUT;assign DOUT=Q1;always@(posedge CLK or negedge RST) beginif(!RST) Q1<=0;else if(EN)beginif(Q1<9)Q1<=Q1+1;else Q1<=4'b0000;endendalways@(Q1)if(Q1==4'h9)COUT=1'b1;else COUT=1'b0;endmodule(2)仿真波形(3)模块符号2.六进制计数器(1)程序代码:module CNT6(CLK,RST,EN,COUT,DOUT);input CLK,EN,RST;output [3:0]DOUT;output COUT;reg[3:0]Q2;reg COUT;assign DOUT=Q2;always@(posedge CLK or negedge RST) beginif(!RST) Q2<=0;else if(EN)beginif(Q2<5)Q2<=Q2+1;else Q2<=3'b000;endendalways@(Q2)if(Q2==3'h5)COUT=1'b1;else COUT=1'b0;endmodule(2)仿真波形(3)模块符号3.分频器(1)程序代码:module FPQ(clk0,clk1);input clk0;output clk1;reg[26:0] Q1;reg clk1;always@(posedge clk0)if(Q1<10) Q1<=Q1+1;else begin Q1<=0;clk1<=~clk1;endendmodule(2)模块符号七.顶层原理图:八.仿真波形九.结果分析当输入端CLK,EN,RST都不为0时,首先是十进制计数器开始进行计时,直到DOUT1输出端大于9时产生进位,并且自身变为0,同时使六进制计数器也开始计时,六进制输出端DOUT2大于5时产生进位,使COUT输出为1.。

EDA2实验报告dds

EDA2实验报告dds

EDAⅡ实验报告 --直接数字频率合成器学院:电子工程与光电技术学院姓名:学号:专业:指导老师:完成时间: 2012年11 月摘要设计一个具有清零、使能、频率控制、相位控制、输出多种波形(包括正余弦、三角波、锯齿波、方波)、经过D/A转换之后能在示波器上显示的直接数字频率合成器。

直接数字频率合成技术是一项非常实用的技术,它广泛的应用于数字通信系统。

报告分析了DDS的设计原理和整个电路的工作原理,介绍了ROM查找表设计和相位累加器设计,还分别说明了各子模块的设计原理和调试、仿真、编程下载的过程。

在试验中我们用到了QuartusII 7.0软件。

关键字DDS ROM QUARTUSII输出波形频率相位控制AbstractThis experiment is to design aDirect digital synthesizer can control using、reset、change frequency and phase、output various wave form(including sine(cosine),triangle wave,sawtooth,square waveform)and after conversion after alsodisplayed on the oscilloscope。

Direct digital synthesizer technology is a useful subject ,it’s widely applied in digital communication。

Also,it analyzes the theory and design about direct digital synthesize(DDS) and analyzed the principle of all work and explained the designing principle of different parts separately and describes the principle and features of DDS 。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA大作业二终结报告
█████████████一、预习报告
二、阐述设计思路
1、键盘读入模块
键盘读入模块的作用是向下一模块(控制模块)传递按键是否按下以及按键值为多少。

根据矩阵键盘的原理,检测行线上的电平值,可以判断矩阵键盘中有无按键按下。

当检测到有按键被按下之后,对列线的电平值进行跑马灯式扫描(S1、S2、S3、S4状态,分别指扫描第1、2、3、4列的信号),若检测到某列有低电平则(进入S5状态)可以由当前的行线电平值和列线电平值确定所按键的值。

对于长按键的设计,当检测到有按键时进入S5状态(有按键按下的状态),检测到按键还在被按下状态(行线电平值不为1111)的话,则不进行下一次扫描,直到检测到按键被松开(行线电平值为1111)时,进行下一次扫描(进入S0状态)。

对于按键防抖的设计,当检测到行线电平值不为1111时,进入S1、S2、S3、S4状态时都再一次进行行线电平值的判断,如果此时行线电平值没有回到1111,则可以认定这次按键是有效的;若此时检测到行线电平值回到1111,则可以认定这是一个抖动,然后回到S0状态。

对于是否有按键按下的输出(key_ready),定义中间变量flag1、flag2、flag3以及按键按下标志flag,flag1是flag的延时,flag2是flag的上升沿检测结果,flag3是flag2的延时,flag2在有按键输出的时候只保持一个时钟周期,由于采用非阻塞式赋值,在有按键按下时flag3会产生一个时钟周期的高电平,作为有按键按下的标志(也可以直接以key_busy作为下一级输入,不过要取key_busy得下降沿,不符合同步电路的设计要求)。

键盘读入模块状态机示意图
2、控制模块
控制模块的作用是根据键盘读入模块读入的值进行处理,向显示模块传递要在数码管上显示的数字。

状态转化图如下。

若电路处于state_start状态,则数码管全灭,此时令num32(第3、2数码管显示的数字,即金钱)为21,num10(第1、0数码管显示的数字,即时间)为41(因为对于num32(num10)来说,0到20(40)的数字都在数码管有对应显示,所以选用21、41这两个无关数字作为全灭状态的输出,使数码管处于全灭状态,具体实现见显示模块),数码管全灭。

当检测到按键按下信号flag为1且现在输入值为13(1101)时,进入state_ready状态,令num32、num10均为0。

电路处于state_ready状态时,num10肯定为num32的2倍,故只需考虑num32。

当检测到按键按下信号flag为1且现在输入值为小于等于9(即为数字)时,判断此时的num32:若num32为0或1,则修改num32的值位原num32的值乘10加现在读入的数值;若num32已经大于10,则num32为20。

当检测到按键按下信号flag为1且现在输入值为14(清零)时,令num32为0,实现清零。

当检测到按键按下信号flag为1且现在输入值为15(倒计时)时,进入state_count(倒计时)状态。

在这个过程中,有中间变量count一直在进行计数,每当检测到有按键按下时,count清零,当count为500000000(即10s时间)时,表明十秒内无操作,如果此时num32为0(已经有数据输入时不会回到初始状态),则进入state_start状态。

电路处于state_count(倒计时)状态时,有中间变量count进行计数,每当count为50000000时(1s时间)时,如果num10>1,则num10减一,保持倒计时状态;否则num32、num10清零,进入state_ready状态。

3、数码管显示模块
数码管显示模块的输入为两个数字num32、num10,分别表示金钱和时间。

对于两个数字处理方法是一样的,根据大小范围判断十位显示(数码管3和数码管1),根据模十取值判断个位显示(数码管2和数码管0)。

另外此模块将输入CLK信号分频为一个500Hz的时钟信号CLK2,用于选通各个数码管(状态S1、S2、S3、S4不断扫描,不同状态有对应数码管的显示取值)。

三、顶层电路图,并说明其中各模块电路的功能。

左侧模块为键盘读入模块,作用是向下一模块(控制模块)传递按键是否按下以及按键值为多少;中间为控制模块,作用是根据键盘读入模块读入的值进行处理,向显示模块传递要在数码管上显示的数字;右侧位显示模块,作用是对输入的金钱时间进行对应的显示。

四、验收步骤中的仿真波形图及其分析说明。

控制模块仿真图如上(为显示完整,时间尺度与功能要求不一致),可以看到,初始状态时分别显示21、41(全灭),按键13(开始)后进入state_ready状态,分别显示0、0,按键4、7后,分别显示20、40,按键14(清零)后,分别显示0、0,按键1、2后显示12、24,按键15(倒计时)后,金额12不变,时间24开始倒计时,倒计时为0后一段时间无响应,显示21、41(全灭)。

键盘读入模块仿真图如上。

可以看到当长按键时,按键按下标志只产生一次脉冲,即认为是一次按键,满足要求。

当产生抖动时,其会在输入稳定后产生标志脉冲,以达到防抖的目的。

五、设计和调试中遇到的问题及解决方法。

(这部分我怎么可能传上来呢)。

相关文档
最新文档