数字电路课程设计——多功能数字钟报告
数字电路课程设计-多功能数字时钟设计报告(免费下载)
多功能数字时钟设计报告目录一、设计任务和要求 (2)二、设计的方案的选择与论证 (2)(1) 总体电路分析 (2)(2) 仿真分析 (3)(3) 仿真说明 (3)三、电路设计计算与分析 (4)(1)小时计时电路 (4)(2)分钟计时电路 (5)(3)秒钟计时电路 (7)(4)校时选择电路 (8)(5)整点译码电路 (9)(6)定时比较电路 (11)(7)脉冲产生电路 (12)四、总结及心得 (13)五、附录 (15)(1)元器件明细表 (15)(2)附图 (17)六、参考文献 (17)一、设计任务和要求实现24小时的时钟显示、校准、整点报时、闹铃等功能。
具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~59,秒0~59)。
(2)校时功能:当刚接通电源或数字时钟有偏差时,可以通过手动的方式去校时。
(3)整点报时:当时钟计时到整点时,能进行整点报时。
(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行报时提醒。
二、设计的方案的选择与论证(1)总体电路分析总体电路设计是将单元电路模块小时计时电路、分钟计时电路、秒计时电路、校时选择电路、整点译码电路、闹钟电路等模块连接在一起,外接输入开关和输出显示数码管构成。
总体结构图如下:(2)仿真分析单击运行按钮,可观测仿真结果。
电路能完成显示计时、校时、整点报时以及闹铃等功能。
○1计时功能。
当开关S1、S2都处于左边触点时,数字时钟工作于计时状态。
此时,电路中的秒计时电路、分计时电路以及小时计时电路分别对秒脉冲、分脉冲和小时脉冲进行计数。
计数结果经数码管显示计时时间值。
○2校时功能。
当开关S1、S2都处于右边触点时,数字时钟工作于校时状态。
按瞬态按钮B键,可以选择对“小时”、“分钟”和“秒钟”进行校时。
校时时通过开关S3(按C键)手动输入校时时间。
○3整点报时功能。
整点译码电路通过识别整点时间,产生整点报时信号。
电子技术课程设计报告---多功能数字时钟
电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。
2.可以24小时制或12小时制。
3.具有校时功能。
可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4.整点能自动报时,要求报时声响四低一高,最后一响为整点。
5.走时精度高于普通机械时钟〔误差不超过1s/d〕。
二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。
秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。
“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。
其原理框图如图1所示。
2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。
数字钟的精度,主要取决于时间标准信号的频率及稳定度。
振荡器的频率越高,计时的精度就越高,但耗电量将增大。
一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。
〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。
分频器的级数和每级的分频次数要根据时基频率来定。
例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。
也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。
〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。
“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。
“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。
多功能电子时钟数字系统课程设计设计实验报告
数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (11)六、实验总结和感想 (13)1、实验错误排查和解决 (13)2、实验感想 (14)七、各模块代码 (15)1、计数器模块 (15)2、控制模块 (29)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。
(开机后,按下按键1一次,会继续显示时间。
)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。
而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。
二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。
我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。
在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。
三、各模块具体介绍本设计主要分成计数器模块和控制模块。
计数器模块主要包括60进制计数器模块和24进制计数器模块,向量与整数转换模块,1Hz 时钟分频模块,整数转换为两个向量的模块,动态显示模块和8段数码管译码模块。
多功能数字钟数电课程设计实验报告
(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。
二、系统设计方案 ........................................................................................ 错误!未定义书签。
三、器件选择 ................................................................................................ 错误!未定义书签。
1、74LS160............................................................................................... 错误!未定义书签。
2、74LS107............................................................................................... 错误!未定义书签。
3、74LS90................................................................................................. 错误!未定义书签。
显示屏....................................................................................................... 错误!未定义书签。
多功能数字钟电路报告
目录一、设计总体思路 (1)1.1.1、晶体振荡电路及分频器电路 (1)1.1.2、时间计数器电路 (1)1.1.3、译码驱动电路以及LED显示电路 (1)1.1.4、校时电路 (1)1.1.5、整点报时电路 (2)二、工作流程图 (2)三、单元电路设计......................................................................错误!未定义书签。
3.1.1、时间脉冲电路.....................................................错误!未定义书签。
3.1.2、计数电路 (4)3.1.3、LED显示译码电路 (7)3.1.4、校时电路 (7)3.1.5、整点报时电路 (8)四、总电路图 (9)五、电路的安装调试 (10)六、故障分析与改进 (11)七、心得体会 (11)八、附录(元件清单) (12)九、参考文献 (13)一、设计总体思路1.1.1、晶体振荡电路及分频器电路:数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。
振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,一般来说,振荡器的频率越高,计时精度越高。
1.1.2、时间计数器电路:时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器以及是时个位和时十位计数器电路构成。
(1)六十进制计数电路。
秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器(2)二十四进制计数电路。
时个位和时十位计数为24进制计数器。
1.1.3、译码驱动电路以及LED显示电路:译码驱动电路将计数器输出的8431BCD码转换为数码管需要的逻辑状态,一般译码驱动电路选用74LS48。
需要注意的是译码驱动电路的选择和数码管LED要配套使用。
用74LS48为高电平输出有效,对应选择LED为共阴极数码管。
若选用74LS47,则选择LED为共阳极数码管。
1.1.4、校时电路:数字钟在启动及运行的过程中,每当与标准的实际时间不相符时,需要对数字钟显示系统按标准时间进行校正。
数电课程设计报告-多功能数字钟
课题名称:多功能数字钟所在院系:机械电子工程学院班级:*自动化*班学号:2011******* 姓名:*宜杰指导老师:***时间:2013.12.20瓷学院数字电子技术课程设计任务书目录引言 (4)第一章方案设计 (5)第二章单元电路设计 (7)第三章总体电路图 (13)第四章课程设计总结 (15)附表元件清单 (16)附录参考文献 (17)引言随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。
我们做为21世纪的一名学电子的大学生,不仅要将理论知识学会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。
电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。
数字电子时钟是一个对标准频率(1HZ)进行计数的计数电路。
通常使用石英晶体振荡器电路构成数字钟,以保证其频率的稳定。
以10 进制计数器74HC390 来实现时间计数单元的计数功能。
用4518作为计数电路,采用CD4511 作为显示译码电路。
选择LED数码管作为显示电路。
由CD4511 把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。
用COMS 与或非门实现的时或分校时电路。
该电路还有在整点前10 秒钟开始整点报时的功能。
报时电路可选74HC30 来构成。
时间以24 为一个周期。
数字钟采用数字电路实现对“时”“分”“秒”数字显示的计时装置。
具有时间显示、闹钟设置、报时功能、校时的功能。
走时准确、显示直观、精度高、稳定等优点。
第一章方案设计设计制作一多功能数字钟一、设计任务:设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计和器件选择,最后将各部分连接在一起,设计出一个符合设计要求的完整系统电路设计要求:(1) 时钟显示功能,能够十进制显示“时”、“分”、“秒”;(2) 小时高位具有零熄灭功能;(3) 具有整点报时功能;(4) 具有快速校准时间的功能。
多功能数字钟电路设计实验报告
多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。
实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。
2. 按键输入:使用按键进行时间的调节和选择功能。
3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。
实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。
2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。
3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。
4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。
5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。
实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。
使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。
实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。
实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。
通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。
多功能数字闹钟电路设计实验报告
多功能数字闹钟电路设计实验报告
实验目的:设计一个多功能数字闹钟电路,能够显示时间、设定并响起闹铃。
实验原理:本实验采用数字集成电路实现数字显示和闹铃功能。
数字显示部分采用BCD到七段数码管解码器74LS47和共阴
七段数码管进行实现,闹铃部分采用555定时器集成电路作为发生器,通过驱动蜂鸣器发出声音。
实验仪器:多功能数字闹钟电路实验箱、数字集成电路
74LS47、七段数码管、555定时器集成电路、蜂鸣器、电源、
示波器等。
实验步骤:
1. 按照电路图连接电路。
将74LS47连接到七段数码管,将
555定时器连接到蜂鸣器和电路中相应的电源和地线。
2. 上电并调节电路供电电压。
3. 设定时间。
通过拨动开关和按钮进行时间的设定。
4. 切换闹钟状态。
通过开关切换闹钟的开启和关闭状态。
5. 监测闹钟时间。
借助示波器调整闹钟时间的精度。
6. 监测闹钟声音。
确认蜂鸣器发出的声音符合要求。
实验结果:实验中,我们成功设计并调试出了一个多功能数字闹钟电路。
通过拨动开关和按钮可以设定时间,并且可以通过切换开关来设置闹钟的开启和关闭状态。
实验中监测到的闹钟时间和声音都符合预期要求。
结论:通过本次实验,我们成功设计了一个多功能数字闹钟电路,实现了时间显示和闹铃功能。
实验结果显示该电路的性能良好,具有实用价值。
在实验中我们也学到了关于数字集成电路和定时器集成电路的使用和调试方法。
(数电)多功能数字钟—设计报告
1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。
②扩展功能:整点报时。
2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
在功能方面,对于本次综合设计,还要求有校时与整点报时功能。
方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。
校时电路时用来对“时”、“分”显示数字进行校对调整。
3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。
脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
数字电路课程设计数字时钟报告
数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。
•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。
设计目标•开发一个功能完备、性能稳定的数字时钟电路。
•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。
设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。
2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。
3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。
4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。
5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。
6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。
7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。
设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。
•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。
•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。
•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。
结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。
•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。
•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。
多功能数字钟—数电课程设计报告
1.设计任务与要求1.1产生1HZ的脉冲;1.2能显示时,分,秒,24小时进制;1.3可手动校正:能分别进行分、时的校正。
只要将开关置于手动位置。
可分别对分、时进行连续脉冲输入调整;1.4整点报时。
2.系统原理框图由振荡器输出稳定的高频脉冲信号作为时间基准,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“24翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒,可发挥部分:使数字钟具有可整点报时与定时闹钟的功能。
数字钟的结构框图如图1所示图1数字钟的结构框图3.设计方案与论证3.1时间脉冲产生电路方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。
555与RC振荡电路如图2所示图1 555与RC组成的多谐振荡器图方案二:振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。
石英晶体振荡器的作用是产生时间标准信号。
因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。
石英晶体振荡电路如图3所示图 2 石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器门电路组成的振荡电路如图4所示图 3 门电路组成的多谐振荡器图用555组成的脉冲产生电路: R1=47kΩ,R2=47kΩ,C=10μF,则555所产生的脉冲的为:f=1/[(R1+2*R2)CLn2=1Hz,而设计要求为1Hz,在精度要求不是很高的时候可以使用。
石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。
由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。
多功能数字钟的设计报告
多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。
二、功能
1、设置时间和日期的功能。
2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。
3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。
4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。
5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。
三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。
1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。
2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。
3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。
eda多功能数字钟实验报告
eda多功能数字钟实验报告EDA多功能数字钟实验报告一、引言数字钟是现代生活中常见的一种时间显示工具,其准确性和便携性使其成为人们生活中不可或缺的一部分。
本实验旨在设计并制作一款多功能数字钟,通过EDA(电子设计自动化)软件进行模拟和仿真,验证其功能和性能。
二、设计原理1. 时钟电路:采用CMOS(互补金属氧化物半导体)技术设计时钟电路,包括时钟发生器、计数器和显示器。
时钟发生器产生稳定的方波信号,计数器根据方波信号进行计数,显示器将计数结果以数字形式显示出来。
2. 功能模块:多功能数字钟除了显示时间外,还应具备日期显示、闹钟设置、温度检测等功能。
为实现这些功能,需要添加相应的模块,如时钟芯片、温度传感器、闹钟电路等。
三、电路设计1. 时钟电路设计:根据设计原理,使用EDA软件进行电路设计,选择合适的元器件和连接方式。
通过仿真验证电路的工作稳定性和准确性。
2. 功能模块设计:根据需求,添加相应的功能模块。
时钟芯片用于精确计时和日期显示,温度传感器用于检测环境温度并显示,闹钟电路用于设置闹钟时间并触发报警。
四、电路实现1. 元器件选取:根据电路设计需求,选择合适的元器件。
时钟芯片应具备高精度和稳定性,温度传感器应具备高灵敏度和准确度,闹钟电路应具备可调节和触发功能。
2. 电路布局:将选取的元器件按照电路设计进行布局,注意元器件之间的连接和布线,避免干扰和短路。
3. 电路连接:根据电路设计进行元器件之间的连接,注意连接的正确性和稳定性。
五、仿真与测试1. 仿真验证:使用EDA软件进行电路仿真,检查电路的稳定性和准确性。
通过仿真结果对电路进行调整和优化,确保其正常工作。
2. 功能测试:对多功能数字钟进行功能测试,包括时间显示、日期显示、温度检测和闹钟设置等。
通过测试结果对电路进行调整和改进,确保其功能的完善和可靠性。
六、实验结果与分析经过仿真和测试,多功能数字钟实现了准确的时间显示、日期显示、温度检测和闹钟设置等功能。
多功能数字钟设计实验报告
P南昌大序《数字电路与逻辑设计实验》实验报告题目数字钟电路设计学院:信息工程学院系电子信息工程专业:__________________________________班级:_____________________________________学号:_____________________________________学生姓名:____________________________________同组同学:_______________________________________指导教师:________________________________递交日期:__________________________多功能数字钟设计一、实验目的1、综合应用数字电路知识,提高逻辑电路设计能力;2、学习使用protel或Altium designer进行电子电路的原理图设计、印制电路板设计:3、学习电路板制作、安装、调试技能和设计流程;4、了解数码管,译码器,555定时器及以下中规模器件的逻辑功能和使用方法。
二、设计任务和设计要求1、设计一多功能数字钟并进行仿真和PCB板制作。
2、基本功能:准确计时,以数字形式显示时、分、秒的时间。
3、扩展功能:校正时间,定时控制,正点报时。
三、设计方案1、数字钟设计方案基本框图如下整点报时闹钟扩展电路 (I)... '2、各模块设计原理 1. 时的设计:时的计数以24小时为周期,按通常的习惯,24小时计数器的计数序列为00, 01,…, 22, 23, 00,…,即当计数到23小时59分59秒时,再来一个秒脉冲,计数器就进到00 时00分00秒。
这样,可利用反馈置数或反馈清零法进行二十四进制计数,本实验采用 74LS161进行设计。
2. 分、秒的设计:分和秒计数器都是模3仁60的计数器。
计数规律为00, 01,…,58, 59, 00,…。
它们 的个位都是十进制,而十位则是六进制。
多功能数字钟课程设计报告
电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。
☆学习数字系统设计中自顶向下的设计方法。
☆加深利用EDA技术实现数字系统的理解。
三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。
☆基本要求1、能够正确的连线及下载。
2、能够完成以秒为最小及时单位的时钟设计。
3、设计完成后的时钟能够正常调整时、分、秒。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
实现这两种模数的计数器采用中规模集成计数器74LS90构成。
●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。
多功能数字钟设计实验报告
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
本科毕业设计论文--eda课程设计报告多功能数字钟设计
湖北大学物电学院EDA课程设计报告(论文)题目:多功能数字钟设计专业班级: 14微电子科学与工程*名:**时间:2016年12月20日指导教师:万美琳卢仕完成日期:2015年12月20日多功能数字钟设计任务书1.设计目的与要求了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解2.设计内容1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开;2,能用按键调时调分;3,能整点报时,到达整点时,蜂鸣器响一秒;4,拓展功能:秒表,闹钟,闹钟可调3.编写设计报告写出设计的全过程,附上有关资料和图纸,有心得体会。
4.答辩在规定时间内,完成叙述并回答问题。
目录(四号仿宋_GB2312加粗居中)(空一行)1 引言 (1)2 总体设计方案 (1)2.1 设计思路 (1)2.2总体设计框图 (2)3设计原理分析 (3)3.1分频器 (4)3.2计时器和时间调节 (4)3.3秒表模块 (5)3.4状态机模块 (6)3.5数码管显示模块 (7)3.6顶层模块 (8)3.7管脚绑定和顶层原理图 (9)4 总结与体会 (11)多功能电子表摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能关键词:Verilog语言,多功能数字钟,数码管显示;1 引言QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。
利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然2 总体设计方案2.1 设计思路根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。
多功能数字时钟实验报告
重庆交通大学开放性实验报告(A类)项目名称:多功能数字钟电路设计专业班级:电子2班学生姓名:***小组成员:何聪、范瑞目录多功能数字时钟设计 (3)摘要 (3)1.系统原理框图 (4)2.单元电路设计与仿真 (5)2.1时间脉冲产生电路 (5)2.2时间计数器电路 (6)2.3 十二与二十四小时的切换 (8)2.4校时电路 (8)2.5报时电路 (9)2.6电路总图 (9)3.PCB板的制作 (10)3.1 原理图的绘制 (10)3.2 PCB的制作 (11)3.3 PCB图 (12)4.心得与体会 (12)附录原件清单 (13)附件1 仿真电路图........................................... 错误!未定义书签。
附件2 电路原理图........................................... 错误!未定义书签。
附件3 PCB图................................................ 错误!未定义书签。
多功能数字时钟设计摘要数字电子钟实际上是一个对标准频率(1Hz)进行计数的计数电路。
由振荡电路形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。
秒计数器电路计满60 后触发分计数器电路,分计数器电路计满60 后触发时计数器电路,当计满24 小时后又开始下一轮的循环计数。
一般由振荡电路、计数器、数码显示器等几部分组成。
振荡电路:主要用来产生时间标准信号,由NE555 组成的多谐振电路产生,但是因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以一般采用石英晶体振荡器。
分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。
计数器:有了“秒”信号,则可以根据60 秒为 1 分,24 小时为1 天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60 进制,60 进制,24 进制计数器,并输出一分,一小时的进位信号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
湘潭大学数字电路课程设计报告课程设计名称:交通灯专业班级: 09自动化一班学生姓名:闫璠学生学号: 2009550101 指导教师:汤红忠完成时间: 2011年11月5日目录一设计目的 (1)二设计功能 (1)三设计原理 (1)四所用仪器 (3)五各单元方案确定兼引脚图 (3)1、脉冲发生器的设计 (3)2、计数电路的设计 (4)3、控制电路的设计 (5)4、显示电路的设计 (7)六总设计电路图 (8)七交通灯系统的调试 (8)八实物连接图 (8)九主要仪器及其使用方法 (8)十设计过程中的问题及解决方案 (8)十一心得体会 (9)交通灯定时控制系统的设计、制作摘要电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。
交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。
交通灯是采用计数器及555产生脉冲控制的。
红灯停,绿灯行的交通规则。
广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。
诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。
还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。
或者给红绿色盲声音警示的人性化设计。
现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。
当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。
要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。
因此,研究交通灯及扩大其应用,有着非常现实的意义。
一设计目的通过实习利用所给器件和要求实现电路功能,理论联系实际要求设计合理电路,达到深入理解理论知识与实际之间的差异,初步掌握模拟与数字逻辑电路系统设计的基本方法。
1.巩固和加深课堂所学知识;2.学习掌握multisim的设计方法和查阅、运用资料的能力;3.在集成开发环境中实现对交通灯实时控制系统的设计与制作,综合运用芯片4画出硬件原理图(接口芯片和外设部分、交通灯与路口对应关系)和实验连线图二.设计功能:设计一个十字路口的交通灯定时控制系统,基本如下:(1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。
(2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。
(3)黄灯亮时,要求每秒钟闪亮一次。
三.设计原理(1)分析系统的逻辑功能,得出其框图交通灯定时控制系统的原理框图如图1所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图中:T L:表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。
定时时间到,T L=1,否则T L=0。
T Y:表示黄灯亮的时间间隔为5秒。
定时时间到,T Y=1,否则,T Y=0。
S T:表示定时器到了规定的时间后,由控制器发出状态转换信号,由它控制定时器开始下一个工作状态的定时。
定时器1 0111T L T Y S T图1 交通灯控制系统原理框图(2)画出交通灯控制系统的ASM (算法状态机)图一般十字路口的交通灯控制系统的工作状态及其功能如表1:表1控制器应送出甲、乙车道红、黄、绿灯的控制信号。
为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:AG=1:甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:甲车道黄灯亮;BY=1:乙车道黄灯亮;AR=1:甲车道红灯亮;BR=1:乙车道红灯亮。
由此得到交通灯的ASM 图,如图2所示:图2交通灯控制系统的ASM 图以上是交通灯设计的思想,以下是各种状态指示灯的转换的表,每种状态的维持时间则用数码显示管显示。
(3)单元电路的设计①定时器定时器由与系统秒脉冲同步的计数器构成,要求计数器在状态转换信号S T 作用下,先清零,然后在时钟上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号T Y 和模25的定时信号T L 。
②控制器控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。
从ASM 图可以列出控制器的状态转换表,如表2所示:控制器状态 信号灯状态 车道运行状态S 0(00) S 1(01) S 3(11) S 2(10)甲绿,乙红 甲黄,乙红 甲红,乙绿 甲红,乙黄 甲车道通行,乙车道禁止通行 甲车道缓行,乙车道禁止通行 甲车道禁止通行,乙车道通行 甲车道禁止通行,乙车道缓行秒脉冲发生器控制器 译码器甲车道信号灯乙车道信号灯AG BRS TT LAR BYAR BGA Y BRT YT LT YS TS TS T表2输入输出现态状态转换条件次态状态转换信号n Q1nQT L T Y11+nQ1+nQ S T0 0 0 0 0 10 11 1 1 1 1 0 1 0 0 X1 XX 0X 10 X1 XX 0X 10 00 10 11 11 11 01 00 01111根据转换表可得:Q1*=Q1’Q0Ty+Q1Q0+Q1Q0’Ty’Q0*=Q1’Q0’Tl+Q1’Q0+Q1Q0Tl’ST=Q1’Q0’Tl+Q1’Q0Ty+Q1Q0Tl+Q1Q0’Ty③译码器译码器的主要任务是将控制器的输出Q1、Q0的4种工作状态翻译成甲、乙车道上的6个信号灯的工作状态。
控制器的状态编号与信号灯控制信号之间的关系如表3所示。
表3Q1Q0AG AY AR BG BY BR0001 11 10 1 0 00 1 00 0 10 0 10 0 10 0 11 0 00 1 0四.所用仪器所用芯片及其参数:序号名称元件编号参数备注1 四位二进制加法计数器74LS160 22 双四选一数据选择器74LS153 23 四输入与门74LS04 24 六输入非门74LS00 25 双D触发器74LS74 16 发光二极管红绿黄6(各2个)7 数码管译码7448 29 3-8译码器74LS138 110 电阻47kΩ 111 电阻47kΩ 212 电容100nF 113 电容10uF 1五、各单元方案的确定:1、脉冲发生器的设计以555定时器接外接电路形成多谢振荡器,发出频率为1Hz的脉冲信号,用作计数器及D 触发器的clk信号。
555定时器引脚图如图1所示,由脉冲频率公式:f=1/(R1+2R2)C㏑2T=1s f=1/T=1Hz要使f=1Hz,可选择R1=47K,R2=47K,C=10μF,2、计数电路的设计用74ls160为计数器,74ls1630为四位的二进制加法计数器。
要产生25秒的清零信号TL及5秒的清零信号TY,需将输出分别接成24及4的输出,由控制电路产生并选择清零信号ST。
74ls160引脚图如图3所示,计数电路如图4所示。
3、控制电路的设计用一个双数据选择器74ls153对TL及TY信号进行选择,输出控制清零信号ST;另一个74ls153与一个双D触发器74ls74相接,形成控制亮灯信号Q1、Q0。
74ls153、74ls74引脚图如图5、6所示,控制电路如图7所示。
4、显示电路的设计六.总设计电路图七交通灯系统的安装与调试1 软件调试根据程序设计的要求连接好multism上的电路,检查无误后,运行。
2 调试过程当第一次做扩展功能时,始终运行不出结果,经过调试发现接线位置放置错误,几经修改,最后调试成功。
八实物连接图九主要仪器及其使用方法主要仪器有:稳定电源,剥线钳,数字万用表,数字通用版,电烙铁,镊子。
其中稳定电源由实验室电源供给。
使用电烙铁时注意不要手直接触摸。
十设计过程中的问题及解决方案1、画好电路图,我用的是multism仿真,便于观察结果与出错原因,其中也出现了不少问题. (1)元件的虚接.有些器件我是直接把其引脚放在线上,所以出现了显示灰色信号,开始一直没有发现,用了很长时间才解决问题(2)芯片使用时设计错了逻辑.我的74LS48的数据弄错了高低,所以导致一直是0-4跑的现象,也就是说St信号一直为低电平。
2、焊电路板过程中的问题(1)第一次焊的时候,接地与接高电平都因为其中有铜线,导致我在这些位置浪费了很多时间,而且板子也焊得不好看.(2)安排芯片底座的时候尽量让之后的接线走正面以方便之后的检查调试。
(3)事先要把线路图整理清楚,焊的板子才会脉络清晰,检查错误也比较方便,外观也比较美观。
十一、心得体会由于课本上所学的东西太多,平时课堂下得学习并不能很好的掌握各元件的功能,所以在此次实习过程中更好的理解和掌握了各元件的功能,对其在电路中的使用认识更多。
这次的设计电路我用到了计数器、译码器等,通过自己分析和设计更好地运用了它们,而且还学会了它们更多的功能,发现它们的功能远比书上说的多很多,可以利用不同的接法设计出各种各样不同的电路出来。
模电课程设计学到得方法在这里可以继续使用,比如MULTISIM等学习软件,给设计提供了很大的便利。
课程设计机会不多,这学期很好,有足够的时间,上学期因为模电课程设计临近期末才给出来,做得很匆忙,觉得不是敷衍老师,而是敷衍自己。
虽然自己很努力的做了,但觉得做得不够好,难免有点遗憾。
我不是一个很细心的人,所以在焊接的时候,很容易犯错,但是由于我是一个个模块进行检验再接着下一个模块,所以,最后检察问题时,没有那么迷惘。
可能在这方面的能力仍然有待进步,所以我花费的时间比别人的多,而且最后出现的问题实在自己无法解决,还找了同学帮忙,但是,我一直一个人坚持到了最后,一直在发现问题,解决问题,到最后,虽然分数不大理想,但是我对自己的态度还是很肯定的。
对于这一次的收获,有自己独立处理这类设计的精神,也有是自己发现问题解决问题的能力有所提升以及对自己细心程度的提升,还有就是也加强了我们思考和解决问题的能力。