多功能数字钟实验报告
数字钟实验报告_4
华中科技大学《电子线路设计、测试与实验》实验报告实验名称: 多功能数字钟设计院(系): 自动化学院专业班级:实验成绩:****: ***2014 年6 月11 日一、实验目的1.掌握可编程逻辑器件的应用开发技术, 设计输入、编译、仿真和器件编程;2.熟悉EDA软件使用;3.掌握Verilog HDL设计方法;4.分模块、分层次数字系统设计二、实验器材QUARTUS II软件PC DEO实验板三、实验要求1.能显示小时、分钟、秒钟(小时以24进制,时、分用显示器, 秒用LED)2.能调整小时、分钟的时间3.复位四、实验原理五、程序设计过程数字钟由2个60进制计数器和1个24进制计数器和4个译码器共7个模块构成,3个计数器公用一个时钟信号CP。
2个选择器分别用于选择分计数器和时计数器的使能控制信号,对时间进行校正时,在控制器的作用下,使能信号接高电平,此时每来一个时钟信号,计数器加一计数,从而实现对小时和分钟的校正.正常计时时,使能信号来自低位计数器的输出,即秒计数器达到59秒时,产生输出信号使分计数器加1,分秒计数器同时计到最大值时即59分59秒时,产生输出信号使小时计数器加一。
1.顶层模块:module clock (led0, led1, led2, led3, led_sec, _50mhzin, adjminkey, adjhrkey, ncr, h12, hour12);input _50mhzin;input adjminkey, adjhrkey;input h12;input ncr;output [6:0]led0, led1, led2, led3;wire [7:0] led_a, led_b;wire _1hz, _1khz, _5hz;wire [7:0] hour, minute, second, set_hr, set_min;output hour12;wire h12;output [7:0]led_sec;assign hour12 = h12;divided_frequency u0(_1hz,ncr,_50mhzin);top_clock u1(hour, minute, second, _1hz, ncr, adjminkey, adjhrkey, _50mhzin);display u2(_50mhzin, _5hz, ncr, led_a, led_b, led_sec, hour, minute, second,h12);SEG7_LUT u3(led_a[7:4], led3);SEG7_LUT u4(led_a[3:0], led2);SEG7_LUT u5(led_b[7:4], led1);SEG7_LUT u6(led_b[3:0], led0);endmodule2.分频模块:module divided_frequency(_1hzout,ncr,_50mhzin);input _50mhzin, ncr;output _1hzout;supply1 vdd;wire[11:0] q;wire _1khzin;wire en1, en2;divfreq50M_1Khz du00(_1khzin, ncr, _50mhzin);//先调用1khz分频counter10 du0(q[3:0], ncr, vdd, _1khzin);counter10 du1(q[7:4], ncr, en1, _1khzin);counter10 du2(q[11:8], ncr, en2, _1khzin);//再调用三个10计数器,将1khz分为1hzassign en1=(q[3:0] == 4'h9);assign en2=(q[7:4] == 4'h9) && (q[3:0] == 4'h9);assign _1hzout = q[11];assign _500hzout = q[0];endmodule3.时钟运行模块module top_clock(hour, minute, second, _1hz, ncr, adjminkey, adjhrkey, _50mhzin);input _1hz, _50mhzin, ncr, adjminkey, adjhrkey;output [7:0] hour, minute, second;wire [7:0] hour, minute, second;//时、分、秒每个用八位二进制表示两位BCD 码supply1 vdd; //高电平, 是使能一直打开wire mincp, hrcp, _5hz;//_5hz用于快速校时divfreq50M_5hz ut0(_5hz, ncr, _50mhzin);counter60 ut1(second, ncr, vdd, _1hz);counter60 ut2(minute, ncr, vdd, ~mincp);//秒和分使用60进制counter24 ut3(hour[7:4], hour[3:0], ncr, vdd, ~hrcp);//时钟为24进制(默认)assign mincp = adjminkey ? _5hz : (second==8'h59);assign hrcp = adjhrkey? _5hz : ({minute,second}==16'h5959);//进位或校时使能控制endmodule4.显示模块:module display(_50mhz,_5hz,cr,led_a,led_b,led_sec,hour,minute,second,h12);input [7:0]hour,minute,second;//时分秒input _50mhz,cr,_5hz;output [7:0]led_a,led_b,led_sec;//数码管显示缓存input h12;//12,24小时制切换reg [7:0]led_a,led_b,led_sec;reg [2:0]mod;//模式变量always@(posedge _50mhz)beginled_b=minute;led_sec=second;//模式0,显示时分秒if(~h12)beginled_a=hour;led_b=minute;led_sec=second;endelsebegincase(hour)8'h13,8'h14,8'h15,8'h16,8'h17,8'h18,8'h19,8'h22,8'h23,8'h24:led_a=hour-8'h12;8'h20:led_a=8'h08;8'h21:led_a=8'h09;default:led_a=hour;endcaseend//12/24小时切换,24到12,相应BCD码减endendmodule5.数码管操作模块module SEG7_LUT (iDIG,oSEG);input [3:0] iDIG;output [6:0] oSEG;reg [6:0] oSEG;always @(iDIG)begincase(iDIG)4'h1: oSEG = 7'b1111001; // ---t----4'h2: oSEG = 7'b0100100; // | |4'h3: oSEG = 7'b0110000; // lt rt4'h4: oSEG = 7'b0011001; // | |4'h5: oSEG = 7'b0010010; // ---m----4'h6: oSEG = 7'b0000010; // | |4'h7: oSEG = 7'b1111000; // lb rb4'h8: oSEG = 7'b0000000; // | |4'h9: oSEG = 7'b0010000; // ---b----4'ha: oSEG = 7'b0001000;4'hb: oSEG = 7'b0000011;4'hc: oSEG = 7'b1000110;4'hd: oSEG = 7'b0100001;4'he: oSEG = 7'b0000110;4'hf: oSEG = 7'b0001110;4'h0: oSEG = 7'b1000000;endcaseendendmodule六、功能仿真1.六进制2.十进制3.六十进制(分了几张图截图)4.24进制5.异步清零仿真6.正常计时仿真秒计时●分计时●小时计时23:59:59秒返07 手动校小时和分钟仿真ADJHrKey 与AdjMinKey均为高电平有效,七、思考题1.什么是分层次的电路设计方法?叙述分层次设计电路的基本过程.答: 在电路设计中,可以将两个或者多个模块组合起来描述电路逻辑功能,通常称为分层次的电路设计.自顶而下和自底而上是两种常用的设计方法.在自顶而下的设计中,先定义顶层模块,然后再定义顶层模块中用到的子模块.而在自底而上的设计中,底层的各个子模块首先被确定下来,然后将这些子模块组合起来构成顶层模块.2.在用MAX+PLUS II 软件设计数字钟电路时,简述对60进制计数器进行仿真分析的大致过程.若仿真时栅格的大小(GRID SIZE)为0.5ms,设置CP信号时倍率(Multiplied By)为软件默认值1,那么仿真文件的时间至少需要多长才能完整反映计数过程?答: 至少要0.5ms * 60 = 30ms八、试验中遇到的问题与解决办法这次实验主要是Verilog代码的编写和仿真, 在波形的仿真过程中, 有许多操作并不清楚, 尤其是部分功能的波形仿真输出和如何手动调整时钟的波形仿真, 虽然最后有同学帮忙, 但是最后还是操作得很不熟练。
eda_多功能电子钟实习报告
数字电子技术课程设计报告——多功能数字钟电路设计专业班级:信息10-2姓名:学号:开课系室:设计日期:2012年10月22日~26日设计题目:多功能数字钟电路设计一、设计任务及要求:1.设计任务:利用语言设计多功能数字钟,使其具有显示时—分—秒、整点报时、小时和分钟可调等基本功能。
2.设计要求:设计一个多功能数字钟,要求显示格式为时—分—秒,整点报时,在整点前5秒开始按照1的频率闪烁,过整点后,停止闪烁。
系统时钟选择时钟模块的50,要得到1HZ的时钟信号,必须对系统时钟进行分频。
调整时间的按键用按键模块的s1和s2,s1调节小时,每按下一次,小时增加一个小时,s2调整分钟,每按下一次,分钟增加一分钟。
另外用s8按键作为系统时钟复位,复位后全部显示00-00-00。
3.输出资源说明:a)输入信号:按键s1,s2,s8(s1按下时为0,松开时为1,其他按键类似)。
b)外部输入脉冲信号时钟源(50),经适当分频后供计数器使用。
c)输出8组显示译码信号(每组7个输出端),分别接到外部的7个七段数码管上,分别显示小时、分钟、秒,显示格式小时-分钟-秒。
d)输出一个高低电平信号,接到外部的1个指示整点的灯。
(输出高电平时,对应的灯亮)错误!未指定顺序。
多功能数字钟控制器结构框图根据如上说明,本设计的主要任务和设计要求是: 1、按照现代数字系统的模块化设计方法,提出简易洗衣机控制电路设计系统的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、计数器、输出译码等模块化子系统的设计方案。
2、在的设计环境中,采用原理图和语言混合输入的方法,完成系统的顶层设计、各子系统的模块化设计。
分别完成各个基于语言实现的子模块(包括分频器、计数器、主控制器、扫描显示译码四部分)的逻辑功能仿真,并对顶层设计进行功能仿真。
3、在2步的基础上,用下载电缆通过口将对应的文件加载到中。
观察实验结果是否与自己的编程思想一致。
二、设计原理与方案:设计多功能数字钟首先要知道钟表的工作原理,整个钟表的工作应该是在1的信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,但是需要注意的是,小时的范围是从0-23。
多功能电子时钟数字系统课程设计设计实验报告
多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。
(开机后,按下按键1一次,会继续显示时间。
)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。
而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。
二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。
我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。
在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。
eda多功能数字钟实验报告
eda多功能数字钟实验报告
《EDA多功能数字钟实验报告》
摘要:
本实验通过对EDA多功能数字钟的组装和测试,探索了数字钟的功能和性能。
实验结果表明,EDA多功能数字钟具有精准的时间显示、多种闹铃设置、温度
和湿度监测等功能,是一款实用且性能稳定的数字钟产品。
引言:
数字钟作为现代生活中不可或缺的家居用品,其功能和性能一直备受关注。
本
次实验选择了EDA多功能数字钟作为研究对象,旨在通过对其组装和测试,深
入了解数字钟的各项功能和性能指标。
实验方法:
1. 组装数字钟:按照产品说明书,将数字钟的各个部件进行组装,并确保连接
牢固。
2. 功能测试:测试数字钟的时间显示、闹铃设置、温度和湿度监测等功能。
3. 性能测试:对数字钟的时间精准度、闹铃响铃声音、温度和湿度监测准确度
等进行测试。
实验结果:
1. 时间显示:数字钟的时间显示精准,误差在1秒以内。
2. 闹铃设置:数字钟支持多组闹铃设置,响铃声音清晰、音量适中。
3. 温度和湿度监测:数字钟的温湿度监测准确度高,与实际环境温湿度相符合。
讨论:
通过本次实验,我们发现EDA多功能数字钟具有精准的时间显示、多种闹铃设
置、温度和湿度监测等功能,性能稳定,符合用户对数字钟的基本需求。
同时,数字钟的组装和操作也相对简单,适合家庭使用。
结论:
EDA多功能数字钟是一款实用且性能稳定的数字钟产品,能够满足用户对数字
钟的基本需求。
在未来的生活中,数字钟将继续扮演重要的角色,为人们的生
活提供便利。
致谢:
感谢实验中提供支持和帮助的老师和同学们。
多功能数字钟数电课程设计实验报告
(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。
二、系统设计方案 ........................................................................................ 错误!未定义书签。
三、器件选择 ................................................................................................ 错误!未定义书签。
1、74LS160............................................................................................... 错误!未定义书签。
2、74LS107............................................................................................... 错误!未定义书签。
3、74LS90................................................................................................. 错误!未定义书签。
显示屏....................................................................................................... 错误!未定义书签。
数字钟实验报告5篇范文
数字钟实验报告5篇范文第一篇:数字钟实验报告数字钟实验报告班级:电气信息i类112班实验时间:实验地点:指导老师:目录一、实验目的-----------------3二、实验任务及要求--------3三、实验设计内容-----------3(一)、设计原理及思路3(二)、数字钟电路的设计--------------------------4(1)电路组成---------4(2)方案分析---------10(3)元器件清单------11四、电路制版与焊接---------11五、电路调试------------------12六、实验总结及心得体会---13七、组员分工安排------------19一、实验目的:1.学习了解数码管,译码器,及一些中规模器件的逻辑功能和使用方法。
2.学习和掌握数字钟的设计方法及工作原理。
熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法。
3.了解pcb板的制作流程及提高自己的动手能力。
4.学习使用protel软件进行电子电路的原理图设计、印制电路板设计。
5.初步学习手工焊接的方法以及电路的调试等。
使学生在学完了《数字电路》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,学会检查电路的故障与排除故障的一般方法锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。
二、实验任务及要求1.设计一个二十四小时制的数字钟,时、分、秒分别由二十四进制、六十进制、六十进制计数器来完成计时功能。
2.能够准确校时,可以分别对时、分进行单独校时,使其到达标准时间。
3.能够准确计时,以数字形式显示时、分,发光二极管显示秒。
4.根据经济原则选择元器件及参数;5..小组进行电路焊接、调试、测试电路性能,撰写整理设计说明书。
三、实验设计内容1、设计原理及思路 3.1数字钟的构成数字钟一般由振荡器、分频器、计数器、译码器、显示器、较时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路3.2原理分析数字钟实际上是一个对标准频率(1hz)进行计数的计数电路。
多功能数字钟电路设计实验报告
多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。
实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。
2. 按键输入:使用按键进行时间的调节和选择功能。
3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。
实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。
2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。
3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。
4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。
5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。
实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。
使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。
实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。
实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。
通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。
多功能数字闹钟电路设计实验报告
多功能数字闹钟电路设计实验报告
实验目的:设计一个多功能数字闹钟电路,能够显示时间、设定并响起闹铃。
实验原理:本实验采用数字集成电路实现数字显示和闹铃功能。
数字显示部分采用BCD到七段数码管解码器74LS47和共阴
七段数码管进行实现,闹铃部分采用555定时器集成电路作为发生器,通过驱动蜂鸣器发出声音。
实验仪器:多功能数字闹钟电路实验箱、数字集成电路
74LS47、七段数码管、555定时器集成电路、蜂鸣器、电源、
示波器等。
实验步骤:
1. 按照电路图连接电路。
将74LS47连接到七段数码管,将
555定时器连接到蜂鸣器和电路中相应的电源和地线。
2. 上电并调节电路供电电压。
3. 设定时间。
通过拨动开关和按钮进行时间的设定。
4. 切换闹钟状态。
通过开关切换闹钟的开启和关闭状态。
5. 监测闹钟时间。
借助示波器调整闹钟时间的精度。
6. 监测闹钟声音。
确认蜂鸣器发出的声音符合要求。
实验结果:实验中,我们成功设计并调试出了一个多功能数字闹钟电路。
通过拨动开关和按钮可以设定时间,并且可以通过切换开关来设置闹钟的开启和关闭状态。
实验中监测到的闹钟时间和声音都符合预期要求。
结论:通过本次实验,我们成功设计了一个多功能数字闹钟电路,实现了时间显示和闹铃功能。
实验结果显示该电路的性能良好,具有实用价值。
在实验中我们也学到了关于数字集成电路和定时器集成电路的使用和调试方法。
多功能数字钟设计实验报告XilinxEDABasys2华中科技大学HUST
多功能数字钟设计实验报告院系:电子与通信工程学院:郭世康班级:1301学号:U202113639指导教师:唐祖平一、实验目标掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程熟悉EDA软件使用掌握Verilog HDL设计方法分模块、分层次数字系统设计二、实验容要求根本功能能显示小时、分钟、秒钟〔时、分用显示器,秒用LED〕能调整小时、分钟的时间提高要求任意闹钟;〔1分〕小时为12/24进制可切换〔1分〕报正点数〔几点钟LED闪烁几下〕〔1分〕三、实验条件Xilinx工程环境,win7操作系统,BASYS2实验板。
四、实验设计1.设计分析数字钟大体上由2个60进制计数器,1个24进制计数器构成,中间有数据选择器进展连接。
为实现提高功能,还需12进制计数和整点判断模块。
下列图为数字钟层次构造图。
2. 实验原理振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。
秒计数器计满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按24或12进制规律计数。
计数器的输送译码显示电路,即可显示出数码〔即时间〕。
计时出现误差时可以用校时电路进展校时和校分。
小时显示〔12\24〕切换电路、仿电台报时、定时闹钟为扩展电路,只有在计时主体电路正常运行的情况下才能进展功能扩展。
本实验采用Verilog HDL进展描述,然后用FPGA/CPLD实现,使用部50MHz 晶振作为时钟电路。
3. 逻辑设计实现上述功能的Verilog HDL 程序如下。
实现根本功能的程序分为两层次四个模块,底层有3个模块构成,即6进制计数器模块,10进制计数器模块和24进制计数器模块,顶层有一个模块,他调用底层的3个模块完成数字中的计时功能。
moduletimeclock(Hour,Minute,Second,CP,nCR,EN,Adj_Min,Adj_Hour,number,Light,clk,temp,c hange,AMTM,dingdong);output [7:0] Hour,Minute,Second;output [3:0] Light,temp;output [6:0] number;output clk,AMTM,dingdong;//clk为分频之后的时钟信号,频率为1Hz,AMTM为24进制转换12进制时说明上下午的变量,dingdong为整点报时时的闪烁信号。
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
多功能数字时钟实验报告
重庆交通大学开放性实验报告(A类)项目名称:多功能数字钟电路设计专业班级:电子2班学生姓名:何昕泽小组成员:何聪、范瑞目录多功能数字时钟设计 (3)摘要 (3)1. 系统原理框图 (4)2. 单元电路设计与仿真 (5)2.1时间脉冲产生电路 (5)2.2时间计数器电路 (6)2.3十二与二十四小时的切换 (8)2.4校时电路 (8)2.5报时电路 (9)2.6电路总图 (9)3. PCB板的制作 (10)3.1原理图的绘制 (10)3.2 PCB的制作 (11)3.3 PCB 图 (12)4. 心得与体会 (12)附录原件清单 (13)附件1仿真电路图 ............................ 错误!未定义书签。
附件2电路原理图 ............................ 错误!未定义书签。
附件3 PCB图.............................. 错误!未定义书签。
多功能数字时钟设计摘要数字电子钟实际上是一个对标准频率(1Hz )进行计数的计数电路。
由振荡电路形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时” “分”“秒”的数字显示出来。
秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。
一般由振荡电路、计数器、数码显示器等几部分组成。
振荡电路:主要用来产生时间标准信号,由NE555组成的多谐振电路产生,但是因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以一般采用石英晶体振荡器。
分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。
计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时的进位信号。
校时器:由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。
eda多功能数字钟实验报告
eda多功能数字钟实验报告EDA多功能数字钟实验报告一、引言数字钟是现代生活中常见的一种时间显示工具,其准确性和便携性使其成为人们生活中不可或缺的一部分。
本实验旨在设计并制作一款多功能数字钟,通过EDA(电子设计自动化)软件进行模拟和仿真,验证其功能和性能。
二、设计原理1. 时钟电路:采用CMOS(互补金属氧化物半导体)技术设计时钟电路,包括时钟发生器、计数器和显示器。
时钟发生器产生稳定的方波信号,计数器根据方波信号进行计数,显示器将计数结果以数字形式显示出来。
2. 功能模块:多功能数字钟除了显示时间外,还应具备日期显示、闹钟设置、温度检测等功能。
为实现这些功能,需要添加相应的模块,如时钟芯片、温度传感器、闹钟电路等。
三、电路设计1. 时钟电路设计:根据设计原理,使用EDA软件进行电路设计,选择合适的元器件和连接方式。
通过仿真验证电路的工作稳定性和准确性。
2. 功能模块设计:根据需求,添加相应的功能模块。
时钟芯片用于精确计时和日期显示,温度传感器用于检测环境温度并显示,闹钟电路用于设置闹钟时间并触发报警。
四、电路实现1. 元器件选取:根据电路设计需求,选择合适的元器件。
时钟芯片应具备高精度和稳定性,温度传感器应具备高灵敏度和准确度,闹钟电路应具备可调节和触发功能。
2. 电路布局:将选取的元器件按照电路设计进行布局,注意元器件之间的连接和布线,避免干扰和短路。
3. 电路连接:根据电路设计进行元器件之间的连接,注意连接的正确性和稳定性。
五、仿真与测试1. 仿真验证:使用EDA软件进行电路仿真,检查电路的稳定性和准确性。
通过仿真结果对电路进行调整和优化,确保其正常工作。
2. 功能测试:对多功能数字钟进行功能测试,包括时间显示、日期显示、温度检测和闹钟设置等。
通过测试结果对电路进行调整和改进,确保其功能的完善和可靠性。
六、实验结果与分析经过仿真和测试,多功能数字钟实现了准确的时间显示、日期显示、温度检测和闹钟设置等功能。
多功能数字钟设计实验报告
P南昌大序《数字电路与逻辑设计实验》实验报告题目数字钟电路设计学院:信息工程学院系电子信息工程专业:__________________________________班级:_____________________________________学号:_____________________________________学生姓名:____________________________________同组同学:_______________________________________指导教师:________________________________递交日期:__________________________多功能数字钟设计一、实验目的1、综合应用数字电路知识,提高逻辑电路设计能力;2、学习使用protel或Altium designer进行电子电路的原理图设计、印制电路板设计:3、学习电路板制作、安装、调试技能和设计流程;4、了解数码管,译码器,555定时器及以下中规模器件的逻辑功能和使用方法。
二、设计任务和设计要求1、设计一多功能数字钟并进行仿真和PCB板制作。
2、基本功能:准确计时,以数字形式显示时、分、秒的时间。
3、扩展功能:校正时间,定时控制,正点报时。
三、设计方案1、数字钟设计方案基本框图如下整点报时闹钟扩展电路 (I)... '2、各模块设计原理 1. 时的设计:时的计数以24小时为周期,按通常的习惯,24小时计数器的计数序列为00, 01,…, 22, 23, 00,…,即当计数到23小时59分59秒时,再来一个秒脉冲,计数器就进到00 时00分00秒。
这样,可利用反馈置数或反馈清零法进行二十四进制计数,本实验采用 74LS161进行设计。
2. 分、秒的设计:分和秒计数器都是模3仁60的计数器。
计数规律为00, 01,…,58, 59, 00,…。
它们 的个位都是十进制,而十位则是六进制。
多功能数字钟课程设计报告
电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。
☆学习数字系统设计中自顶向下的设计方法。
☆加深利用EDA技术实现数字系统的理解。
三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。
☆基本要求1、能够正确的连线及下载。
2、能够完成以秒为最小及时单位的时钟设计。
3、设计完成后的时钟能够正常调整时、分、秒。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
实现这两种模数的计数器采用中规模集成计数器74LS90构成。
●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。
多功能数字钟实验报告
多功能数字钟实验报告基地班级:设计人:设计时间:指导老师:目录多功能数字钟实验报告 .......................................................................... - 1 -一、实验任务及要求................................................................... - 3 -1.基本功能............................................................................ - 3 -2.拓展功能............................................................................ - 3 -二、实验条件............................................................................. - 3 -1.软件 .................................................................................. - 3 -2.开发板............................................................................... - 3 -三、电路的设计过程................................................................... - 4 -1.工作原理与组成框图......................................................... - 4 -2.单元电路设计................................................................... - 4 - 模块(1)计时与调时电路 ................................................. - 7 -模块(2)12-24小时转换电路:....................................... - 11 -模块(3)显示电路:....................................................... - 12 -模块(4)报时电路:....................................................... - 14 -模块(5)闹钟电路:....................................................... - 17 - 3.总体仿真波形.................................................................. - 24 -四、调试过程............................................................................ - 28 -1. 调试步骤............................................................................ - 30 -2. 调试中遇到的问题及解决办法.............................................. - 30 -3. 最后观察到的实验结果........................................................ - 31 -五、实验的收获、体会与改进建议.............................................. - 32 -一、实验任务及要求用FPGA器件和EDA技术实现多功能数字钟的设计1.基本功能以数字形式显示时、分、秒的时间;小时计数器为同步24进制;要求手动校时、校分和自动校时、校分。
多功能数字钟实习报告——西南交通大学
西南交通大学课程设计报告多功能数字钟设计任务及要求课程设计——多功能数字钟1.设计任务:设计一个多功能数字钟,实现以下功能基本要求:(1).时间的显示与设置(2).闹钟,闹钟提示音为音乐(3).日期的显示与设置(4).秒表2.设计说明(1)SW1功能键用来选择不同的功能模式:•1号功能:时间正常显示•2号功能:时间的调整与设置•3号功能:闹钟的设置与查看•4号功能:日期的正常显示•5号功能:日期的调整与设置•6号功能:秒表功能(2)SW2主要用于闹钟设置、时间的调整与设置、日期的调整与设置中的位置选择按钮,与功能键SW1配合使用,具体功能如下:•2号功能模式,即时间的调整与设置时,用作时、分、秒的移位,按一下,将会实现“时-分-秒”的依次移位,便于调整键SW3在特定位置进行调整。
•3号功能模式,即闹钟的设置与查看时,用作时、分、秒的移位,按一下,将会实现“时-分-秒”的依次移位,便于调整键SW3在特定位置进行调整。
•5号功能模式,即日期的调整与设置时,用作年、月、日的移位,按一下,将会实现“年-月-日”的依次移位,便于调整键SW3在特定位置进行调整。
(3)SW3主要用于闹钟设置、时间调整与设置、日期调整与设置中的调整按钮。
与功能键SW1配合使用,具体功能如下:•2号功能模式,即时间的调整与设置时,用作时、分、秒数字的调整,按一下,将会使得当前调整键SW2选择的位置数字增加1。
•3号功能模式,即闹钟的设置与查看时,用作时、分、秒数字的调整,按一下,将会使得当前调整键SW2选择的位置数字增加1。
•5号功能模式,即日期的调整与设置时,用作年、月、日数字的调整,按一下,将会使得当前调整键SW2选择的位置数字增加1。
(4)3.提示•显示部分可采用动态数码管显示•为避免损坏器件,可编程器件的输入和数码管的输入前需要接入几百欧的电阻限流。
•按键消抖:通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。
多功能数字钟设计实验报告
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
多功能数字钟设计实验报告
班级:生物医学工程141班姓名:刘玉奔学号:6103413018 设计性实验项目名称多功能数字钟(一)实验目的1:学习综合且较复杂数字系统设计;2:学习多层次、多模块数字系统设计;3:学习数码管扫描显示电路设计;(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上由简单到复杂实现多功能数字钟功能,具体要求如下:1、数码管扫描显示时、分、秒;2、具有正常计时和调时、调分、调秒等校时功能;3、经设置应具有整点报时功能;(三)主要仪器设备1、微机1台2、QuartusII集成开发软件1套3、EDA实验装置1套(四)实验步骤1:确定多功能数字钟的设计模块2:分模块原理图与代码设计3:各模块仿真核实4:实行综合设计5:综合设计仿真6:下载验证7:总结归纳(五)实验数据包括以下几个模块:多路分频(VHDL生成),模60计数器(秒),模60计数器(分),模24计数器(时),模7计数器(日)8个数码管显示(7路有效数据),调时,准点报时A:原理图:图(1):包括分(counter60),时(counter24),调时(adjusttime),日(zscount7)模块图(2):包括多路分频(fenpin),秒(count60),数码管显示(zsdisplay),准点报时(准点报时),控制端(COUNTR),时钟源(1KHz),调分(ADHM),调时(ADJH),调日(ADJD),检测输出(DAY,HH,HL,MH,ML,SH,SL),段显(SEG[6..0]),片选(CS[7..0]),报时(BAOSHI)。
图(3)zsdisplay内部结构原理图:B:各模块仿真:多路分频:输入CLK=1KHz,输出CLK1Hz,CLK2Hz,CLK100Hz,CLK500Hz。
解释:CLK为接入的系统时钟,CLK1Hz用于调分,调日,CLK2Hz用于调时,CLK100Hz,CLK500Hz用于低高音的准点报时。
多功能数字钟(EDA设计)实验报告
多功能数字钟一、实验原理分析通过晶振产生的50MHz的脉冲,用分频器进行分频产生1Hz的脉冲信号,即作为时钟的1s的信号进行计数。
秒钟每计数60秒后产生进位使分钟显示加1,分钟满60循环至0。
为实现手动校准时间功能,可以对分和秒计数器进行加减。
为实现校准时间时候的闪烁,对数码管使用消隐,把数码管的接地端口接一个脉冲信号。
在实验过程中,要注意很多细节,比如进行按键消抖,手动调整时间时不会进位。
二、逻辑分析三、功能模块分析功能模块包括分频模块,时间计数及校准模块,数码管译码显示模块、判决模块和消抖模块1.分频模块该电路由多个70LS90经过分频将由晶振产生的50MHz分频为1Hz方波,供后续时钟电路使用。
这一模块是整个电路的基础。
2.时间计数及校准模块该模块连接至分频模块的信号输出端,以分频模块产生的1Hz 方波作为基础。
1Hz方波与秒同步,以秒为基础,分别实现电子钟中,分与时的运转,即1分钟=60秒,1小时=60分钟的循环运转。
为了修正电子钟在运行过程中产生的一些误差或其他认为错误,另设置校准功能,可以对电子钟的计时进行调整。
其中,此模块的逻辑部分需Verilog语言实现并进行封装。
此模块用到3个十进制计数器、2个六进制计数器和1个三进制计数器。
3.数码管译码显示模块本电子钟采用数码管来显示,可以简单、直观地表现出确切的时间,实现其他配套功能。
且数码管易于操作。
此模块中有四个数码管,每两个数码管分别显示小时与分钟。
由上一模块,即时间计数及校准模块中的时间计数器产生的数值,将其对应的七段码直接传送至相应的数码管译码显示。
4.判决模块该电路判决信号连接至开关,当开关选中数码管某位后,经过判决器令改为停止计数,并开始1秒闪烁,按动按键可实现手动调整。
5.消抖模块通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《多功能数字钟电路的设计、制作》课程设计报告班级:(兴) 2008级自动化*名:**学号:**********指导教师:**2010年11月13日目录一、设计目的.................................1二、设计内容及要求...........................1三、总设计原理...............................1四、主要元件及设备...........................2五、单元电路的设计...........................51、数字电子计时器组成原理.................52、用74LS160实现12进制计数器..............63、校时电路...............................74、时基电路设计...........................8六、设计总电路图.............................8七、设计结果及其分析.........................8八、设计过程中的问题及解决方案...............9九、心得体会.................................9十、附录.....................................10多功能数字钟电路设计一、设计目的通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。
即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。
毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。
二、设计内容及要求1、功能要求:①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。
②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。
2、设计步骤与要求:①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低;②设计各单元电路,并用Multisim软件仿真;③在通用电路板上安装电路,只要求显示时分;④测试数字钟系统的逻辑功能;⑤写出设计报告。
设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。
三、总设计原理数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
四、主要元件及设备1、给定的主要器件:74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个)各元件引脚图如下图:74LS002、仪器和设备:稳压电源(或数字逻辑学习机),双宗示波器,数字万用表、数字通用板、拨线钳和电烙铁等。
五、 单元电路的设计1、数字电子钟的设计提示1图1数字电子计时器的结构框图2)、用74160实现12进制计数器CLK图2 用整体置零法构成的12进制计数器3)、校时电路校时电路是数字钟不可缺少的部分,每当数字钟与实际时间不符时,需要根据标准时间进行校时。
当数字钟接通电源或者计时出现错误是,需要校正时间,校时是数字钟应具备的基本功能。
为了电路简单,只对时和分进行校时。
校时电路要求在小时校正时不影响分和秒的正常计数,在分校时时不影响秒和小时的计数。
时校时电路和分校时电路都是一致的,校时脉冲信号为10HZ脉冲,这样速度正好适中,适合校时。
当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。
校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。
为使电路简单,这里只进行分和小时的校准。
校时可采用快校时和慢校时两种方式。
校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。
图3中C1、 C2用于消除抖动。
方法是控制六十进制的时钟输入端CP,使用两个三态门或者把秒进位信号加入,或者把校分的按键信号J1加入,J2用来控制校分和计分切换,由于两个三态门U10A和U11A的使能端有效电平刚好相反,J2接地时为校分功能,J2不接地时为计分功能。
图3 校时电路4、时基电路555定时器的脉冲时间是由于RC 充放电确定的。
根据三要素公式:[]1)(1)0(1)(1)(1RC t eVc Vc Vc t Vc -∞-++∞= (1)充电过程的方程式:11)31(32RC t e Vcc Vcc Vcc Vcc --+= (2) 充电时间为:1)21(7.02ln )21(11C R R C R R t +=+= (3)放电过程的方程式:12)032(031RC t e Vcc Vcc --+= (4) 放电时间为:127.02ln 221C R C R t == (5)总时间为:ft t t 121=+= (6) 频率为:121121)2(43.1)2(7.011C R R C R R t f +=+== (7)首先确定C1=0.1uf ,R2=5.1K Ω,需要输出频率f=1KHZ ,将充放电时间算出,确定电阻R1。
通过确定R1=4.1K Ω。
秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。
由振荡器与分频器组合产生秒脉冲信号。
图4 由555定时器构成的多谐振荡器一般说来,振荡器的频率越高,计时精度越高。
本设计中采用由集成定时器555与RC 组成的多谐振荡器,经过调整输出1000Hz 脉冲。
762158435550.01μFX μFXK ΩX K ΩX K Ω1Hz+5V六、设计总电路图七、设计结果及其分析本次实验基本达到了老师的要求,实现了数字钟的时钟显示功能、60进位功能,小时高位12翻1的功能、校时功能。
试验中容易出现时钟屏幕数字时快时慢,可能是由于示波器电压不稳定,或者电路板的电阻或者导线的电阻有关。
八、设计过程中的问题及解决方案设计电路过程中,在multisim软件中出现了许多各种各样的错误,虽然最后经过不断的修改以及和同学的讨论得到了比较好的解决。
其中有一个问题困惑了我很久,就是连接好译码显示电路后LED却不能显示出数字,最后查出原来所选用的译码器型号不同连接方式也不同,而且555定时器的电阻设置不同则他们的周期也不同,如果电阻选择不恰当也会出现不能显示数字,。
还有就是设计六十进制计数器和二十四进制计数器是各个计数器之间的连接、秒到分间的进位问题、分到时之间的进位问题、校时电路的连接方式等等问题。
当模拟电路在电脑上成功后,实际上的操作也有一些失误,导致出现不进位和60进制中出现跳过几个数字的情况,经过认真检查电路发现不进位的原因是有些导线的与电路板焊接接触不良,出现虚焊。
60进制钟出现跳过几个数字的情况是将555的out输出端接到触发端,虽然有数字的输出但是不是连续的,说明触发端输出的波形不是完整的矩形波,经过示波器的调试知道从触发端输出的波形是三角波一类的,输出有波峰和波谷。
同时也证明了要想得到60进制的连续数字必须是一列矩形波。
九、心得体会通过本次实验对输电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义。
这次电子电路课程设计,也对电子电路设计的知识有了更深一步的了解,熟悉了更多不同的数字芯片,比如74LS00,74LS04,74LS48,74LS160,数码管等,这为我以后的电路设计打了一定的基础。
在连接十二进制,六十进制的进位的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了。
在这次的设计中,我考虑了许多不同的方案,从理论上细致的比较各个方案的好坏,同时又充分的考虑实际情况,比如十二翻一得采用置数法比置零法更好,不会出现不稳定的情况,以实际情况为主要,在此过程中学会了把理论和实际充分结合起来的思维方式。
在设计的过程中我采用了MULTISIM仿真软件,通过这次的课程设计使我对这个仿真软件的使用更加的熟练。
还有就是在设计的过程中首先必须明白个个引脚的作用以及分类,比如说在设计MULTISIM仿真软件的时候,需要把地线,VCC分清楚,这些线很容易搅在一起,在实际做电路板的焊接的时候,也需要首先进行排版,要知道排版的好坏直接影响电路的美观而且最重要的是影响接线,还有就是在检查电路的时候也有影响,说明了我们不能忽视细节问题,在连接导线的时候尽量不要出现虚焊,建议接好一根线的同时用万用表检查一下,这样可以省去复查,在检查的时候也可以把电路板分为几个模块去检查,这样也会大大缩短时间,提高工作效率。
总之通过此次课程设计,收获颇丰,在培养自己的实验动手能力的同时还培养自己的性情,这次课程设计对以后的实际操作和以后的课程设计都有举足轻重的作用,这次焊接电路板使我明白了模拟和实际不是等价的,在知道了实验原理后实际操作才是最重要的。
10。