电子电路数字钟实验报告
大学数字钟实训报告

一、摘要本次实训旨在通过设计和制作一个数字时钟,加深对数字电子技术理论知识的理解,提高动手实践能力。
在实训过程中,我们学习了数字钟的原理、电路设计、元件选择、焊接调试等技能。
最终,我们成功制作出了一个具有时、分、秒显示功能的数字时钟,并通过实际运行验证了其功能。
二、实训目的1. 掌握数字电子钟的原理和设计方法。
2. 熟悉常用数字电路元件的功能和特性。
3. 提高动手实践能力,培养创新意识。
4. 增强团队协作精神,提高沟通能力。
三、实训内容1. 数字钟原理数字钟是一种将时间信息转换为数字信号,并通过数码管显示的电子计时设备。
其基本原理是利用石英晶体振荡器产生稳定的时钟信号,通过计数器进行计数,并通过译码器和数码管显示时间。
2. 电路设计本次实训采用以下电路设计:(1)时钟信号产生:利用555定时器产生1Hz的时钟信号。
(2)秒计数器:采用CD4060计数器,实现秒的计数。
(3)分计数器:采用CD4518计数器,实现分的计数。
(4)时计数器:采用CD4518计数器,实现时的计数。
(5)译码器:采用CD4511译码器,将计数器的输出信号转换为数码管所需的信号。
(6)数码管显示:采用共阴极七段数码管,显示时、分、秒。
3. 元件选择本次实训选用的元件如下:(1)时钟信号产生:555定时器、电阻、电容。
(2)计数器:CD4060、CD4518。
(3)译码器:CD4511。
(4)数码管显示:共阴极七段数码管。
(5)其他元件:电阻、电容、电位器、晶体管、开关等。
4. 焊接调试(1)按照电路图进行元件焊接。
(2)检查电路连接是否正确,并进行初步调试。
(3)调整电位器,使数码管显示正确的时间。
(4)测试电路功能,确保时、分、秒显示准确。
四、实训总结1. 通过本次实训,我们掌握了数字电子钟的原理和设计方法,熟悉了常用数字电路元件的功能和特性。
2. 在实训过程中,我们提高了动手实践能力,培养了创新意识。
3. 团队协作精神得到了加强,沟通能力得到提高。
数字钟 实验报告

数字钟实验报告数字钟实验报告1. 引言数字钟是一种以数字形式显示时间的装置,广泛应用于日常生活中。
本实验旨在通过搭建数字钟电路并进行实际测试,了解数字钟的工作原理和实现方式。
2. 实验材料和方法实验材料:电路板、电子元件(集成电路、电阻、电容等)、数字显示屏、电源、万用表等。
实验方法:按照电路图连接电子元件,将数字显示屏连接到电路板上,接通电源后进行测试。
3. 实验步骤3.1 搭建电路根据提供的电路图,将电子元件按照正确的连接方式搭建在电路板上。
确保连接的准确性和稳定性。
3.2 连接数字显示屏将数字显示屏连接到电路板上的指定位置,注意极性的正确性。
3.3 接通电源将电路板连接到电源上,确保电源的稳定输出。
3.4 进行测试打开电源,观察数字显示屏上的显示情况。
通过调整电路中的元件,如电容和电阻的数值,观察数字显示屏上的时间变化。
4. 实验结果在实验过程中,我们成功搭建了数字钟电路,并进行了多次测试。
通过调整电路中的元件数值,我们观察到数字显示屏上的时间变化。
数字钟准确地显示了当前的时间,并且实时更新。
5. 讨论与分析通过本次实验,我们了解到数字钟的工作原理是通过电路中的集成电路和元件来控制数字显示屏的显示。
数字钟的精确性和稳定性取决于电路的设计和元件的质量。
在实际应用中,数字钟通常会采用更加精确的时钟芯片来保证时间的准确性。
6. 实验总结本次实验通过搭建数字钟电路并进行测试,使我们更加深入地了解了数字钟的工作原理和实现方式。
通过调整电路中的元件,我们观察到数字显示屏上的时间变化,验证了数字钟的准确性和实时性。
在今后的学习和工作中,我们将更加注重电路设计和元件的选择,以提高数字钟的精确性和稳定性。
7. 参考文献[1] 电子技术基础教程,XXX,XXX出版社,2010年。
[2] 数字电路设计与实验,XXX,XXX出版社,2015年。
8. 致谢感谢实验室的老师和同学们对本次实验的支持和帮助。
他们的耐心指导和积极讨论使本次实验取得了圆满成功。
数字钟实验报告5篇范文

数字钟实验报告5篇范文第一篇:数字钟实验报告数字钟实验报告班级:电气信息i类112班实验时间:实验地点:指导老师:目录一、实验目的-----------------3二、实验任务及要求--------3三、实验设计内容-----------3(一)、设计原理及思路3(二)、数字钟电路的设计--------------------------4(1)电路组成---------4(2)方案分析---------10(3)元器件清单------11四、电路制版与焊接---------11五、电路调试------------------12六、实验总结及心得体会---13七、组员分工安排------------19一、实验目的:1.学习了解数码管,译码器,及一些中规模器件的逻辑功能和使用方法。
2.学习和掌握数字钟的设计方法及工作原理。
熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法。
3.了解pcb板的制作流程及提高自己的动手能力。
4.学习使用protel软件进行电子电路的原理图设计、印制电路板设计。
5.初步学习手工焊接的方法以及电路的调试等。
使学生在学完了《数字电路》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,学会检查电路的故障与排除故障的一般方法锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。
二、实验任务及要求1.设计一个二十四小时制的数字钟,时、分、秒分别由二十四进制、六十进制、六十进制计数器来完成计时功能。
2.能够准确校时,可以分别对时、分进行单独校时,使其到达标准时间。
3.能够准确计时,以数字形式显示时、分,发光二极管显示秒。
4.根据经济原则选择元器件及参数;5..小组进行电路焊接、调试、测试电路性能,撰写整理设计说明书。
三、实验设计内容1、设计原理及思路 3.1数字钟的构成数字钟一般由振荡器、分频器、计数器、译码器、显示器、较时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路3.2原理分析数字钟实际上是一个对标准频率(1hz)进行计数的计数电路。
数字电路时钟实训报告(一)

数字电路时钟实训报告(一)数字电路时钟实训报告1. 概述•本报告旨在介绍数字电路时钟实训的相关内容,包括实训目的、实训过程和实训结果。
2. 实训目的•熟悉数字电路时钟的基本原理和设计思路•掌握数字电路时钟的硬件组成和功能模块•实践运用数字电路知识,完成时钟的设计和制作3. 实训过程1.准备材料–数字电路实验箱–电源线、信号线等连接器–电路元件:集成电路、电容器、电阻等–示波器、万用表等测试仪器2.设计方案–根据时钟的要求和功能需求,设计数字电路时钟的电路图–确定所需的集成电路和其他电路元件3.电路搭建–使用实验箱和连接器搭建数字电路时钟的电路–按照电路图连接电路元件和集成电路4.测试和调试–使用示波器、万用表等测试仪器对电路进行测试–检查电路是否按照设计要求工作5.验证功能–测试时钟的各项功能是否正常工作–验证时钟在不同时间段的准确性和稳定性4. 实训结果•完成数字电路时钟的设计和制作•时钟的各项功能正常工作•时钟在不同时间段具有准确性和稳定性5. 总结•通过本次数字电路时钟的实训,我深入了解了数字电路时钟的工作原理和设计流程。
通过实践操作,我掌握了数字电路时钟的搭建和调试方法,提高了数字电路设计能力。
这次实训对我的专业技能和实践能力的提升具有重要意义。
以上是本次数字电路时钟实训的报告内容。
实训过程中,我认真完成了任务,获得了实践经验,并与同学们共同交流和学习,提高了团队合作能力。
希望今后能继续深入学习和应用数字电路知识,为未来的科研和工作打下坚实的基础。
6. 遇到的问题和解决方法•在实训过程中,我遇到了几个问题:1.电路搭建困难:由于电路图复杂,部分连接容易混淆和出错。
解决方法是多次仔细核对电路图,注意连接的正确性,并请教老师和同学的帮助。
2.电路测试困难:有时测试仪器的操作和数据读取不够准确,导致测试结果不确定。
解决方法是认真阅读仪器的使用说明书,熟悉操作步骤,并与同学们共同研究和解决问题。
数字时钟实验报告

数字时钟实验报告一、实验目的本次数字时钟实验的主要目的是设计并实现一个能够准确显示时、分、秒的数字时钟系统,通过该实验,深入理解数字电路的原理和应用,掌握计数器、译码器、显示器等数字电路元件的工作原理和使用方法,提高电路设计和调试的能力。
二、实验原理1、时钟脉冲产生电路时钟脉冲是数字时钟的核心,用于驱动计数器的计数操作。
本实验中,采用石英晶体振荡器产生稳定的高频脉冲信号,经过分频器分频后得到所需的秒脉冲信号。
2、计数器电路计数器用于对时钟脉冲进行计数,分别实现秒、分、时的计数功能。
秒计数器为 60 进制,分计数器和时计数器为 24 进制。
计数器可以由集成计数器芯片(如 74LS160、74LS192 等)构成。
3、译码器电路译码器将计数器的输出编码转换为能够驱动显示器的信号。
常用的译码器芯片有 74LS47(用于驱动共阳数码管)和 74LS48(用于驱动共阴数码管)。
显示器用于显示数字时钟的时、分、秒信息。
可以使用数码管(LED 或 LCD)作为显示元件。
三、实验器材1、集成电路芯片74LS160 十进制计数器芯片若干74LS47 BCD 七段译码器芯片若干74LS00 与非门芯片若干74LS10 三输入与非门芯片若干2、数码管共阳数码管若干3、电阻、电容、晶振等无源元件若干4、面包板、导线、电源等四、实验步骤1、设计电路原理图根据实验原理,使用电路设计软件(如 Protel、Multisim 等)设计数字时钟的电路原理图。
在设计过程中,要合理布局芯片和元件,确保电路连接正确、简洁。
按照设计好的电路原理图,在面包板上搭建实验电路。
在搭建电路时,要注意芯片的引脚排列和连接方式,避免短路和断路。
3、调试电路接通电源,观察数码管是否有显示。
如果数码管没有显示,检查电源连接是否正确,芯片是否插好。
调整时钟脉冲的频率,观察秒计数器的计数是否准确。
如果秒计数器的计数不准确,检查分频器的连接是否正确,晶振的频率是否稳定。
数字钟实验报告

数字钟实验报告引言:数字钟是一种使用数字显示时间的时钟,它已经成为我们日常生活中不可或缺的一部分。
通过数字钟,我们可以准确地了解当前的时间,从而更好地安排自己的生活。
本实验旨在探究数字钟的原理和制作过程,并通过实际的制作过程加深对数字钟的了解。
一、原理介绍数字钟的原理基于电子技术和计时器的结合。
其中,主要包括以下几个部分:时钟芯片、数码管、控制电路以及电源等。
1.时钟芯片:时钟芯片是数字钟的核心部件,它内置了计时器和时钟功能。
通过时钟芯片,我们可以实现时间的自动更新和准确显示。
2.数码管:数码管是数字钟的显示部分,它由数根发光二极管组成,能够显示0-9的数字。
通过不同的控制电流和电压,数码管可以根据时钟芯片的指令来显示相应的数字。
3.控制电路:控制电路是连接时钟芯片和数码管之间的桥梁,它负责将时钟芯片输出的信号转换为数码管可识别的信号。
控制电路可以通过编码器、解码器和集线器等元件来实现。
4.电源:电源为数字钟提供所需的电能,将电能转换为供时钟芯片和数码管正常工作所需的电流和电压。
二、实验准备在进行实验之前,我们需要准备以下实验器材:晶体管、电阻器、电容器、发光二极管、电线、焊接工具等。
1.选择晶体管:在制作数字钟的过程中,我们需要选择合适的晶体管来实现数字的显示。
常见的晶体管有阳极、阴极共阳、阴极共阴等。
根据所需的显示效果选择不同类型的晶体管。
2.电阻器和电容器:电阻器和电容器是控制电路的重要组成部分,它们能够限制电流和调节电压,从而保证数字钟的正常工作。
3.焊接工具:焊接工具是将各个器材连接在一起的关键。
使用焊接工具进行焊接时,需要注意操作安全,确保焊点牢固。
三、实验步骤通过以下步骤,我们可以逐步完成数字钟的制作:1.划定电路板:首先,我们需要在电路板上进行标记,划定数字钟的各个部分的位置。
这一步骤旨在确保各个元件的安装位置准确无误。
2.安装元件:接下来,我们可以一步步安装各个元件。
首先,焊接晶体管和电阻器等固定元件,然后进行焊接。
电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
数字电路电子钟设计实验报告

数字电路电子钟设计实验报告目录1.实验目的2.实验题目描述和要求3.设计报告内容3.1实验名称3.2实验目的3.3实验器材及主要器件3.4数字电子钟基本原理3.5数字电子钟制作与调试3.6数字电子钟电路图3.7数字电子钟的组装与调试4.实验结论5.实验心得1.实验目的※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力;※培养书写综合实验报告的能力。
2.实验题目描述和要求(1)数字电子钟基本功能数字电子钟是一个大众化产品,一般来讲应具有以下基本功能。
①能进行小时、分、秒显示。
②能进行小时、分、秒设置。
③能实现整点报时。
④能通过设置,实现任意时间报时。
(2)数字电子钟基本性能一个实用的数字电子钟应满足三个“度”:精度、亮度和响度。
①精度是指显示的时间必须准确。
②亮度是指显示的时间必须让人看得清楚。
③响度是指报时的声音必须清脆有力。
(3)数字电子钟用于教学设计时必须考虑的因素从教学角度来看,数字电子钟的设计应考虑以下几点。
①数字电路可由多种不同方案实现,在方案比较时应着重考虑所选用的方案在设计时能否把数字电路包含的主要知识全部囊括进去。
②应把数字电子钟分解成若干个模块,并在印制电路板设计时把各模块固定在不同的区域。
③应确保大多数学生能在规定时间内完成制作与调试。
④数字电子钟印制电路板(PCB)设计时除留下足够的训练内容让学生完成外,应设计一标准印制电路板设计示范区。
(4)本教材设计的数字电子钟总体方案根据以上分析,本教材把数字电子钟分解为信号电路、显示电路、计时电路、校时电路和报时电路五个功能相对独立的模块(如图8-1所示),采用如图8-2所示的设计方案,并按要求实施时参照一下规定进行。
①各模块的制作、调试按显示电路、信号电路、计时电路、校时电路和报时电路的顺序进行。
数字时钟时实习报告

一、实习背景随着科技的不断发展,电子技术在各个领域得到了广泛应用。
数字时钟作为一种常见的电子设备,在日常生活中具有很高的实用价值。
为了提高自身实践能力,我参加了数字时钟的实习课程,通过实际操作,了解了数字时钟的设计原理和制作方法。
二、实习目的1. 掌握数字时钟的基本原理和设计方法。
2. 提高电子制作和调试技能。
3. 培养团队合作精神,提高沟通能力。
三、实习内容1. 数字时钟的组成数字时钟主要由以下几个部分组成:(1)振荡器:产生时钟信号,为时钟电路提供稳定的时钟源。
(2)分频器:将振荡器产生的时钟信号分频,得到秒脉冲信号。
(3)计数器:对秒脉冲信号进行计数,得到时、分、秒的数值。
(4)译码器:将计数器输出的数值转换为七段数码管显示的信号。
(5)显示器:将译码器输出的信号转换为可视的数字显示。
2. 数字时钟的设计与制作(1)设计要求根据实习要求,设计的数字时钟应具备以下功能:1)显示时、分、秒;2)采用BCD码形式输出;3)具有时钟调整功能;4)具有闹钟功能。
(2)设计步骤1)选择合适的电子元件,如振荡器、分频器、计数器、译码器、显示器等。
2)绘制电路原理图,确定各元件的连接方式。
3)根据原理图,进行PCB板设计,布局和布线。
4)制作PCB板,焊接元件。
5)调试电路,确保时钟功能正常。
6)测试闹钟功能,确保其准确性。
3. 实习过程在实习过程中,我们首先了解了数字时钟的基本原理,然后根据设计要求,选择合适的电子元件。
在绘制电路原理图时,我们严格按照设计要求进行,确保电路的稳定性和可靠性。
在PCB板设计过程中,我们注重布局和布线,力求提高电路的散热性能和抗干扰能力。
在焊接过程中,我们遵循焊接规范,确保焊接质量。
最后,我们对电路进行调试和测试,确保时钟功能正常。
四、实习成果通过本次实习,我们成功制作了一台具有时、分、秒显示和闹钟功能的数字时钟。
在实习过程中,我们不仅掌握了数字时钟的设计原理和制作方法,还提高了电子制作和调试技能。
最新数字钟实验报告

最新数字钟实验报告实验目的:本实验旨在设计并构建一个数字时钟,通过编程和电子组件的使用,实现时间的精确显示和设置。
实验过程中,我们将学习如何使用微控制器、数码管显示以及编写相应的软件代码来控制时钟的运行。
实验材料:1. 微控制器(如Arduino UNO)2. 数码管显示模块3. 电阻、电容4. 跳线5. 电源适配器6. 编程软件(如Arduino IDE)实验步骤:1. 准备实验材料,并确保所有组件完好无损。
2. 连接微控制器与数码管显示模块,通过跳线将数码管的各个引脚与微控制器对应引脚相连。
3. 在Arduino IDE中编写数字钟的程序代码,包括时间设置、显示更新和闹钟功能。
4. 将编写好的代码上传至微控制器中。
5. 连接电源,测试数字钟是否能够正常运行,包括时间的显示、设置和闹钟功能。
6. 调整代码中的参数,确保时间显示的准确性和稳定性。
7. 记录实验数据和观察结果,对出现的问题进行分析和调试。
实验结果:通过实验,我们成功构建了一个数字钟,它能够显示小时、分钟和秒。
用户可以通过特定的按钮组合来设置时间,并且设定闹钟。
在测试过程中,时钟的显示准确无误,设置功能也运作正常。
闹钟在设定的时间准时响起,满足了实验的基本要求。
实验结论:本次实验验证了通过微控制器和数码管可以成功实现数字钟的设计和功能。
实验过程中遇到的问题主要涉及代码的优化和硬件的稳定性,通过调整代码和重新检查硬件连接,问题得到了解决。
最终,我们得到了一个功能完善、运行稳定的数字钟原型。
fpga数字钟实验总结

fpga数字钟实验总结
FPGA数字钟实验总结:
本次FPGA数字钟实验旨在设计并实现一个基于FPGA的数字时钟。
通过该实验,我掌握了以下几个方面的知识和技能。
首先,我学会了使用FPGA开发工具进行电路设计和编程。
我使用了一种特定的硬件描述语言(HDL),如Verilog或VHDL,来描述时钟电路的功能和行为。
通过学习和实践,我能够熟练地使用FPGA开发工具创建和编辑代码。
其次,我了解了数字时钟的基本原理和组成部分。
数字时钟通常由时钟芯片、计数器、显示器和控制电路组成。
我学会了如何使用FPGA来实现这些功能,并通过编程控制时钟的显示方式和计时功能。
在实验过程中,我还学会了时序设计和逻辑电路的基本概念。
由于时钟电路需要精确的时序控制,我学会了如何设计和优化时钟电路的时序路径,以确保电路的正常运行和准确计时。
此外,我还学会了如何使用开发板上的按钮和开关等外部输入
设备来控制时钟的设置和调整功能。
通过编程,我能够实现时钟的
时间调整、闹钟设置等功能,并通过显示器将相应的信息展示出来。
最后,在实验过程中,我也遇到了一些挑战和问题。
例如,时
钟的精确性和稳定性是一个重要的考虑因素,我需要注意时钟信号
的抖动和噪声问题。
此外,时钟的显示方式和格式也需要根据实际
需求进行设计和调整。
通过本次FPGA数字钟实验,我不仅巩固了对数字电路和FPGA
开发的理论知识,还提高了实际操作和问题解决能力。
这个实验为
我今后在数字电路设计和嵌入式系统开发方面的学习和工作奠定了
坚实的基础。
电子技术数字时钟报告电路原理图

电子技术课程设计报告设计题目:数字电子时钟班级:学生姓名:学号:指导老师:完成时间:一.设计题目:数字电子时钟二.设计目的:1.熟悉集成电路的引脚安排和各芯片的逻辑功能及使用方法;2.了解数字电子钟的组成及工作原理 ;3.熟悉数字电子钟的设计与制作;三、设计任务及要求用常用的数字芯片设计一个数字电子钟,具体要求如下:1、以24小时为一个计时周期;2、具有“时”、“分”、“秒”数字显示;3、数码管显示电路;4、具有校时功能;5、整点前10秒,数字钟会自动报时,以示提醒;6、用PROTEUS画出电路原理图并仿真验证;四、设计步骤:电路图可分解为:1.脉冲产生电路;2.计时电路;3.显示电路;4校时电路;5整点报时电路;1.脉冲电路是由一个555定时器构成的一秒脉冲,即频率为1HZ;电路图如下:2.计时电路即是计数电路,通过计数器集成芯片如:74LS192 、74LS161、74LS163等完成对秒脉冲的计数,考虑到计数的进制,本设计采用的是74LS192;秒钟个位计到9进10时,秒钟个位回0,秒钟十位进1,秒钟计到59,进60时,秒钟回00,分钟进1;分钟个位计到9进10时,分钟个位回0,分钟十位进1,分钟计到59,进60时,分钟回00,时钟进1;时钟个位记到9进10时,时钟个位回0,时钟十位进1,当时钟计数到23进24时,时钟回00.电路图如下:3.显示电路是完成各个计数器的计数结果的显示,由显示译码器和数码管组成,译码器选用的是4511七段显示译码器,LED数码管选用的是共阴极七段数码管,数码管要加限流电阻,本设计采用的是400欧姆的电阻;电路图如下:4.校时电路通过RS触发器及与非门和与门对时和分进行校准,电路图如下:5.整点报时电路即在时间出现整点的前几秒,数值时钟会自动提醒,本设计采用连续蜂鸣声;根据要求,电路应在整点前10秒开始整点报时,也就是每个小时的59分50秒开始报时,元器件有两个三输入一输出的与门,一个两输入一输出的与门,发生器件选择蜂鸣器;具体电路图如下:六.设计用到的元器件有:与非门74LS00,与门74LS08,74LS11,7段共阴极数码管,计数器芯片74LS192,555定时器,4511译码器,电阻,电容,二极管在电路开始工作时,对计数电路进行清零时会使用到,单刀双掷开关;设计电路图如报告夹纸;七.仿真测试:1.电路计时仿真电路开始计数时:计数从1秒到10秒的进位,从59秒到一分钟的进位,从1分到10分的进位,从59分到一小时的进位,从1小时到10小时的进位,从23小时到24小时的进位,然后重新开始由此循环,便完成了24小时循环计时功能,仿真结果如下:1. 7.2.8.3. 9.4. 10.5. 11.6. 12.13.2.电路报时仿真由电路图可知,U18:A和U18:B的6个输入引脚都为高电平时,蜂鸣器才会通电并发声,当计数器计数到59分50秒是,要求开始报时,而59分59秒时,还在报时,也就是说只需要检测分钟数和秒计数的十位,5的BCD码是4和1,9的BCD码是8和1,一共需要6个测端口,也就是上述的6个输入端口,开始报时时,报时电路状态如图:3.校时电路仿真正常计时校时U15:D和u15:C是一个选通电路,12角接的是秒的进位信号,9角接的是秒的脉冲信号,当SW1接到下引脚时,U15:D接通,u15:C关闭,进位信号通过,计数器的分技术正常计时;当SW1接到上引脚时,U15:D关闭,u15:C接通,校时的秒脉冲通过,便实现了分钟校时,时钟的校时与分钟校时大致相同;八.心得体会以及故障解决设计过程中遇到了一个问题,就是在校时电路开始工作时,校时的选择电路会给分钟和时钟的个位一个进位信号,也就是仿真开始时电路的分钟和时钟个位会有一个1;为了解决这个问题,我采用的是在电路开始工作时,同时给分钟和时钟的个位一个高电平的清零信号来解决,由于时钟的个位和十位的清零端是连在一起的,再加上分钟的个位,在校时小时的时候且当小时跳完24小时时,会给分钟的个位一个清零信号,这时在电路中加一个单向导通的二极管变解决了,具体加在那儿,请参考电路图;在设计过称中,我们也许遇到的问题不止一个两个,而我们要做的是通过努力去解决它;首先我们要具备丰富的基础知识,这是要在学习和实际生活中积累而成的;其次,我们还有身边的朋友同学老师可以请教,俗话说:三人行,必有我师;最后,我们还有网络,当今是个信息时代,网络承载信息的传递,而且信息量非常大,所以我们也可以适当的利用网络资源;通过这次对数字钟的设计与制作,让我了解了设计电路的步骤,也让我了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真,仿真成功之后才实际接线;但是仿真是在一个比较好的状态下工作,而电路在实际工作中需要考虑到一些驱动和限流电阻等等,因为,再实际接线中有着各种各样的条件制约和干扰;而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功;所以,在设计时应考虑两者的差异,从中找出最适合的设计方法;这次学习让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解,才能在实际生活和工作中应用起来;。
电子时钟实验报告

电子时钟实验报告电子时钟实验报告引言:电子时钟是一种使用数字显示时间的现代化设备,它在我们的日常生活中起着重要的作用。
本次实验旨在通过制作一个简单的电子时钟,了解其工作原理和基本构造,并对其进行测试和改进。
一、实验材料和设备本次实验所需材料和设备包括:电子元件(电阻、电容、二极管等)、集成电路、面包板、电源、示波器、万用表等。
二、实验步骤1. 准备工作首先,我们需要准备好所需的电子元件和设备,并将它们连接在面包板上。
确保连接正确无误后,将面包板连接到电源上。
2. 时钟电路设计我们设计的电子时钟采用了数字时分秒的显示方式。
为了实现这一功能,我们使用了一个集成电路来控制时钟的计时和显示功能。
通过连接电阻和电容,我们可以调整时钟的频率和精度。
3. 时钟电路测试在完成时钟电路的设计后,我们需要进行测试以确保其正常工作。
首先,我们使用示波器来观察时钟信号的波形,并检查其频率和稳定性。
然后,我们使用万用表来测量电压和电流,确保电路中没有异常。
4. 时钟显示改进为了提高时钟的显示效果,我们可以对电子时钟进行改进。
例如,我们可以增加背光功能,使时钟在光线较暗的环境下也能清晰可见。
此外,我们还可以增加闹钟功能,使时钟能够发出声音提醒我们。
5. 结果分析通过实验,我们成功制作了一个简单的电子时钟,并对其进行了测试和改进。
我们发现,该时钟具有较高的准确性和稳定性,能够准确显示时间。
同时,通过添加背光和闹钟功能,我们提高了时钟的实用性和便利性。
6. 实验总结本次实验使我们更加深入地了解了电子时钟的工作原理和构造。
通过实践,我们不仅学会了如何制作一个简单的电子时钟,还了解了如何测试和改进它。
这对我们理解电子时钟的应用和发展具有重要意义。
结论:通过本次实验,我们成功制作了一个简单的电子时钟,并对其进行了测试和改进。
我们深入了解了电子时钟的工作原理和构造,并发现其具有较高的准确性和稳定性。
通过实践,我们不仅学会了如何制作一个电子时钟,还了解了如何测试和改进它。
数字电子时钟实验报告

数字电子时钟实验报告
《数字电子时钟实验报告》
实验目的:通过实验,掌握数字电子时钟的工作原理和制作方法,加深对数字
电子电路的理解。
实验器材:数字电子时钟电路板、数字电子元件(如集成电路、LED显示屏、
电阻、电容等)、电源、示波器、万用表等。
实验原理:数字电子时钟是一种利用集成电路和数字显示器构成的时钟,通过
数字电路实现时间的显示和计时功能。
其基本原理是利用集成电路进行时钟信
号的处理和分频,然后将处理后的信号通过数字显示器显示出来。
实验步骤:
1. 按照电路图连接数字电子时钟电路板,并接通电源。
2. 使用示波器和万用表对电路进行检测和调试,确保电路连接正确并且工作正常。
3. 调节时钟信号的频率和分频比,使得数字显示器能够正确显示时间。
4. 对电路进行稳定性和可靠性测试,确保时钟能够长时间稳定运行。
实验结果:经过调试和测试,数字电子时钟能够准确显示时间,并且稳定可靠。
通过示波器观察到的时钟信号波形也符合设计要求。
实验结论:通过本次实验,我们深入了解了数字电子时钟的工作原理和制作方法,掌握了数字电子电路的调试和测试技术。
数字电子时钟作为一种常见的数
字电子产品,具有广泛的应用前景,我们在实验中积累了丰富的经验,为今后
的电子产品设计和制作奠定了良好的基础。
通过本次实验,我们不仅学到了知识,还培养了动手能力和实验技能,为今后
的学习和工作打下了坚实的基础。
希望通过今后的实验学习,我们能够不断提高自己的实验能力和创新能力,为科学技术的发展贡献自己的力量。
数字钟实验报告

数字钟实验报告本次实验旨在通过搭建数字钟电路,实现显示时间的功能。
实验所需材料有,数字管、集成电路、电阻、电容、开关、LED灯等。
首先,我们按照电路图连接好各个元件,然后接通电源,观察数字管上显示的时间是否准确。
在实验过程中,我们还发现了一些问题,并进行了相应的解决方法。
在实验开始之前,我们首先对实验所需的元件进行了准备工作。
然后按照电路图连接好数字管、集成电路、电阻、电容、开关等元件,确保连接的稳固性和正确性。
接着,我们接通电源,发现数字管上的显示并不准确,有时会出现闪烁或者停止显示的情况。
经过仔细检查,我们发现是由于电阻值选择不当导致的,于是我们更换了合适的电阻,问题得以解决。
接着,我们对实验中出现的问题进行了总结和分析。
我们发现在电路连接过程中,要特别注意元件之间的连接方式和电阻、电容的数值选择,这对于电路的稳定性和准确性至关重要。
另外,实验中还需要注意防止元件的过热和烧坏,要时刻保持警惕,及时发现并解决问题。
通过本次实验,我们对数字钟的原理和搭建方法有了更深入的了解,也学会了在实际操作中如何发现问题并解决问题。
这对我们今后的学习和工作都具有一定的指导意义。
总的来说,本次实验取得了一定的成果,我们成功搭建了一个能够显示时间的数字钟电路,并且在实验过程中发现了一些问题并进行了解决。
通过这次实验,我们不仅学到了理论知识,也积累了实际操作经验,对我们的专业学习和未来的科研工作都具有一定的帮助和指导意义。
希望通过今后的实验和学习,我们能够进一步提高自己的动手能力和实际操作能力,为将来的科研工作打下坚实的基础。
同时,也希望能够将所学知识应用到实际工程中,为社会发展做出自己的贡献。
数字时钟实验报告

数字时钟实验报告数字时钟实验报告引言:数字时钟是一种常见的时间显示设备,它以数字的形式直观地展示时间,广泛应用于家庭、学校、办公场所等各个领域。
本次实验旨在通过制作一个简单的数字时钟,了解数字时钟的工作原理和构造,并通过实践掌握相关的电子元件和电路知识。
一、实验材料和仪器:1. 电子元件:7段LED数码管、集成电路555计时器、电阻、电容等。
2. 仪器:数字万用表、示波器、电源等。
二、实验步骤:1. 电路连接:首先,将7段LED数码管按照电路图连接到555计时器的输出引脚上。
然后,根据电路图连接电阻和电容,形成555计时器的工作电路。
最后,将电源连接到电路上,确保电路供电正常。
2. 电路调试:打开电源后,使用数字万用表检测电路各个节点的电压和电流,确保电路连接正确,并且电压、电流符合设计要求。
然后,使用示波器观察555计时器输出的方波信号,并调节电阻和电容的数值,使得方波信号的频率和占空比符合数字时钟的要求。
3. 数字时钟显示:当电路调试完成后,数字时钟即可正常工作。
通过改变555计时器的频率,可以实现数字时钟的时间显示刷新频率调节。
通过观察7段LED数码管的亮灭情况,可以准确读取当前的时间。
三、实验结果分析:通过实验,我们成功制作了一个简单的数字时钟。
通过调节电路中的元件数值,我们可以改变数字时钟的刷新频率和显示方式。
实验中,我们还发现了以下几个问题和现象:1. 数码管亮度不均匀:在实验过程中,我们发现数码管的亮度不均匀,有些段显示较亮,而有些段显示较暗。
这是由于数码管内部的发光二极管的特性不完全一致,导致亮度差异。
为了解决这个问题,可以采用亮度均衡电路或者更换亮度较为一致的数码管。
2. 时钟误差:在实验中,我们发现数字时钟的时间显示与实际时间存在一定的误差。
这是由于555计时器的精度有限,以及电容和电阻的误差累积导致的。
为了提高数字时钟的精度,可以选择更高精度的计时器和优质的电子元件。
3. 电路稳定性:在实验过程中,我们发现电路的稳定性对数字时钟的正常工作十分重要。
电子电工实训报告数字钟

一、前言随着科技的不断发展,电子技术在国民经济的各个领域得到了广泛应用。
作为电子信息时代的重要基础,电子电工技术的重要性不言而喻。
为了提高学生的实际操作能力和对电子电工技术的理解,我们开展了数字钟的实训课程。
本文将对本次实训过程进行总结和反思。
二、实训目的1. 熟悉数字钟的工作原理和电路结构。
2. 掌握数字钟的元件选择、焊接、调试和故障排除方法。
3. 培养学生团队合作精神和动手实践能力。
4. 提高学生对电子电工技术的认识和兴趣。
三、实训内容1. 数字钟电路原理讲解数字钟是一种电子计时设备,主要由石英晶体振荡器、计数器、译码器、显示器等组成。
本次实训所使用的数字钟电路主要由以下部分组成:(1)石英晶体振荡器:产生稳定的频率信号;(2)计数器:对时间进行计数;(3)译码器:将计数器输出的信号转换为显示器可显示的信号;(4)显示器:显示时间;(5)校时电路:对时间进行校准。
2. 元件选择与焊接根据电路原理图,选择合适的元件,如:石英晶体振荡器、计数器、译码器、数码管、电阻、电容等。
焊接时,注意焊接质量,确保电路连接可靠。
3. 电路调试连接好电路后,对数字钟进行调试。
首先检查电路连接是否正确,然后调整石英晶体振荡器的频率,使数字钟正常计时。
在调试过程中,注意观察各元件的工作状态,发现问题及时解决。
4. 故障排除在实训过程中,可能会遇到各种故障,如:计时不准确、显示不正常等。
针对这些问题,分析故障原因,采取相应的措施进行排除。
常见的故障及解决方法如下:(1)计时不准确:检查石英晶体振荡器的频率是否稳定,调整频率;(2)显示不正常:检查数码管是否损坏,更换新的数码管;(3)电路连接错误:仔细检查电路连接,确保连接正确。
四、实训总结1. 通过本次实训,我们了解了数字钟的工作原理和电路结构,掌握了数字钟的元件选择、焊接、调试和故障排除方法。
2. 在实训过程中,我们学会了团队合作,共同解决遇到的问题,提高了动手实践能力。
数字电路设计数字钟实验设计报告

数字钟实验设计报告数字钟设计一设计任务1. 基本功能:以数字形式显示时、分、秒的时间,小时的计时要求为“24翻1”,分和秒的计时要求为60进位;2.扩展功能:校时、正点报时及闹时功能;二电路工作原理及分析数字电子钟主要由以下几个部分组成:秒信号发生器,时、分、秒计数器,显示器,校时校分电路,报时电路。
2.1数字钟的基本逻辑功能框图图1 数字钟的基本逻辑功能框图2.2振荡器的设计振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟的准确程度。
通常选用石英晶体构成振荡器电路。
一般来说,振荡的频率越高,计时精度越高。
如果精度要求不高则可以采用由集成逻辑门与R、C组成的时钟源振荡器或集成电路计时器555与R、C组成的多谐振荡器,电路参数如图2所示.接通电源后,电容C1被充电,当Vc上升到2Vcc/3时,使vo为低电平,同时放电三极管T导通,此时电容C1通过R2和T放电,Vc下降。
当Vc下降到Vcc/3时,vo翻转为高电平。
电容C1放电所需时间为tpL=R2ln2≈0.7R2C1当放电结束时,T截止,Vcc将通过R1、R2向电容器 C1充电,一;Vc由Vcc/3上升到2Vcc/3所需的时间为tpH=(R1+R2)C1ln2≈0.7(R1+R2)C当Vc 上升到2Vcc/3 时,电路又翻转为低电平。
如此周而复始,于是,是在电路的输出端就得到一个周期性的矩形波。
其振荡频率为f=1/(tpL+tpH) ≈1.43/[(R1+2R2)C]振荡周期:T=T1+T2=(R1+2R2)C1In2 得R1+2R2=T/C1In2=0.142k故选定R1=0.1K,R2=0.021k图2 555振荡器(图中R1,R2值不为实际值)图3 555振荡器产生的波形2.3时、分、秒计数器电路时、分、秒计数器电路由秒个位和秒十位,分个位和分十位及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而时个位和时十位为24进制计数器。
数字钟电路设计报告总结

数字钟电路设计报告总结
数字钟是一种电子设备,它通过电路控制钟表的走动,为用户提供准确的计时服务。
在本报告中,我们介绍了数字钟电路的设计过程,包括硬件设计、软件设计、测试和优化等方面。
首先,我们了解了数字钟的基本组成部分,包括时钟芯片、计数器、定时器、按键、显示屏等。
然后,我们分析了数字钟的用户需求,确定了数字钟的基本功能,如定时闹钟、整点报时、定时关闭等。
在硬件设计方面,我们选择了常用的数字钟电路模块,包括时钟芯片、计数器、定时器、按键、显示屏等,并进行了电路仿真和测试,以确保电路的稳定性和可靠性。
在软件设计方面,我们使用了 C 语言编写数字钟的驱动程序,实现了数字钟的各种功能,如定时闹钟、整点报时、定时关闭等。
同时,我们还优化了数字钟的算法,提高了计算速度和精度,使数字钟的计时更加准确。
最后,我们进行了数字钟的测试和优化,以确保数字钟的质量和性能。
我们使用了各种测试工具和仪器,对数字钟进行了功能测试、性能测试和可靠性测试等,以确保数字钟的可靠性和稳定性。
同时,我们还进行了优化,提高了数字钟的运算速度和精度,使数字钟的计时更加准确。
总的来说,我们介绍了数字钟电路的设计过程,包括硬件设计、软件设计、测试和优化等方面。
我们分析了数字钟的用户需求,选择了常用的数字钟电路模块,进行了电路仿真和测试,优化了数字钟的
算法,确保了数字钟的可靠性和稳定性,为用户提供了准确的计时服务。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子电路课程设计总结报告(数字钟)项目名称:数字钟学院:机械工程学院专业:班级:姓名:穆明国指导老师:一、课程设计题目 (3)二、课程设计的设计任务和基本要求 (3)三、课程设计题目分析 (3)四、课程设计的电路设计部分 (5)五、课程设计的总电路图 (9)六、元器件的使用说明 (11)七、课程设计的心得体会 (15)八、参考文献 (15)一、课程设计题目: 数字钟二、课程设计任务和基本要求:1)设计数字钟电路(每人一组,独立完成)基本功能:准确计时,以数字形式显示时、分、秒的时间;小时的计时要求为24进位,分和秒的计时要求为60进位;能快速校正时、分的时间。
扩展功能:定点闹时功能,比如在7时59分发出闹时信号,持续时间为1分钟;整点报时功能,比如计时到整点时发出声音,且几点响几声。
2)提交设计报告(书面形式)画出所设计电路的结构方框图;分析各部分的工作原理;所含集成电路的管脚和功能说明;通过Multisim 等软件对所设计电路进行仿真,提交仿真电路的原理图(电子版)。
3)制作数字钟(两人一组共同完成)实现基本功能,给定统一的元器件,按照自己的设计方案在面包板上搭建实际电路,并达到设计要求。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计操作方面的校时电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:各部件(1)时钟振荡电路。
555定时器与RC组成的多谐振荡器可以产生1kHz的方波信号,可以作为时间标准信号源。
(2)秒脉冲产生电路。
分频器电路将1kHz的方波信号经1000次分频后得到1Hz的方波信号供秒计数器进行计数。
分频器实际上也就是计数器。
(3)计数电路。
电子时钟分为小时、分钟和秒,其中小时为二十四进制,分钟和秒均为六十进制,输出可用数码管显示,所以要求二十四进制为00000000~00100011计数,六十进制为00000000~01100000计数,并且均为8421编码形式。
(4)校时校分电路。
在刚接通电源或者时钟走时出现误差时,则需要进行时间的校准。
通常可以在整点时刻和利用电台或电视台的信号进行校准,也可以在其他时刻利用别的时间标准进行校对。
(5)译码驱动电路。
译码驱动电路将计数器输出的8421码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
(6)显示数码管。
本设计选用LED七段数字显示数码管,检验选用四段数字显示。
四、课程设计的电路设计部分:555振荡器555多谐振荡器产生1kHz。
利用555和3个电阻、两个电容完成电路。
其中Cf为刚干扰电容,设计电路前根据相关频率计算公式计算R1 R2 C的具体取值并通过示波器的显示来进行微调。
公式如下:f=1/T=1.43/(R1+2*R2)*C仿真电路如下:分频器74LS160在级联使用时一定注意两个使能端EP、ET的设置,只有两个均为高电平才能计数,当第三级控制时一定是第一级和第二级一同控制第三级。
如下图所示,第二级的EP、ET一同由第一级的RCO控制,第三级的EP 由第二级的RCO控制,而ET由第一级的RCO控制,这样保障了第三级的进位是前两个芯片均计满益处时。
60进制计数器由两片74LS290构成六十进制计数器,联接方式如下图,将一片74LS290作为个位(下图芯片1),另一片74LS290作为十位(下图芯片2)。
秒计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为分计数器的输入脉冲CP0。
下图电路即可作为秒计数器,也可作为分计数器:图4 60 进制计数器24进制计数器由两片74LS290构成的二十四进制计数器,连接图如下:将一片74LS290作为个位(下图芯片1),另一片作为十位(下图芯片2),当个位值是4,同时十位值是2的时候,两片同时清零。
图5 24 进制计数器译码显示电路译码电路的功能是将秒、分、时计数器的输出代码进行翻译,变成相应的数字。
用与驱动LED七段数码管的译码器常用的有74LS247。
74LS247是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。
若将秒、分、时计数器的每位输出分别送到相应七段译吗管的输入端,便可以进行不同数字的显示。
在译码管输出与数码管之间串联电阻R作为限流电阻。
在模拟过程中,我们直接选用带有译码器的显示器,也就是将译码器和显示器合并成一个元件。
图6 译码显示电路校正模块校正电路的工作原理:校时校分电路基本一致,这里只仿真校分电路,方法是控制六十进制的时钟输入端CP,使用两个三态门或者把秒进位信号(V2信号源仿真)加入,或者把校分的按键信号J1加入,J2用来控制校分和计分切换,由于两个三态门U10A和U11A的使能端有效电平刚好相反,J2接地时为校分功能,J2不接地时为计分功能。
校时电路与此电路基本一致。
图9 时校正连接电路上电复位在计数器清零端处接一个或门即可。
五、总电路图:六、元器件的使用说明: 集成异步十进制计数器74LS90集成异步十进制计数器74LS90它是二-五-十进制计数器,若将Qa 与CPB 相连从CPA 输入计数脉冲其输出Qd 、Qc 、Qb 、Qa 便成为8421码十进制计数器;若将Qd 与CPA 相连,从CPB 输入计数脉冲其输出Qd 、Qc 、Qb 、Qa 便成为5421码十进制计数器。
74LS90具有异步清零和异步置九功能。
当R0全是高电平,R9至少有一个为低电平时,实现异步清零。
当R0至少有一个低电平,R9全是高电平时,实现异步置九。
当R0、R9为低电平时,实现计数功能。
8421 BCD 码十进制 5421 BCD 码十进制74LS90功能表如下:输入输出R01 R02 R91 R92 Qd Qc Qb QA H H L × H H × L L × H H × L H H L L L L L L L L H L L H H L L H× L × L × L L × L × × L L × L × 计数 计数 计数 计数555定时器振荡器由555定时器构成。
在555定时器的外部接适当的电阻和电容元件构成多谐振荡器,再选择元件参数使其发出标准秒信号。
555定时器的功能主要由上、下两个比较器C1、C2的工作状况决定。
比较器的参考电压由分压器提供,在电源与地端之间加上VCC电压,且控制端VM悬空,则上比较器C1的反相端“-”加上的参考电压为2/3VCC,下比较器C2的同相端“+”加上的参考电压为1/3VCC。
若触发端S的输入电压V2≤1/3VCC,下比较器C2输出为“1”电平,SR触发器的S输入端接受“1”信号,可使触发器输出端Q为“1”,从而使整个555电路输出为“1”;若阈值端R的输入电压V6≥2/3VCC,上比较器C1输出为“1”电平,SR触发器的R输入端接受“1”信号,可使触发器输出端Q为“0”,从而使整个555电路输出为“0”。
控制电压端VM外加电压可改变两个比较器的参考电压,不用时,通常将它通过电容(0.01μF左右)接地。
放电管T1的输出端Q′为集电极开路输出,其集电极最大电流可达50mA,因此,具有较大的带灌电流负载能力。
若复位端RD加低电平或接地,可使电路强制复位,不管555电路原处于什么状态,均可使它的输出Q为“0”电平。
只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可方便地构成多谐振荡器。
译码器74LS4874LS48芯片是一种常用的七段数码管译码器驱动器,下面是74LS48的引脚图和功能表。
74LS48功能表元器件清单七、实习心得:拿到这份实习任务,因为当初不认真学习,还有时间很久的缘故,根本无从下手,而通过再次翻书,借鉴同学的,与同学讨论等等,让我对数字电路以及各种元器件有了重新的了解。
而且通过设计发现总会有一些小的错误,让我在细节的注意上有了更深刻的了解。
还有就是发现理论和实际差距还是很大的。
八、参考文献:《电工学(第六版)》上、下册秦曾煌主编·高等教育出版社《Multisim 2001电路设计及仿真入门与应用》郑步生·电子工业出版社《数字电子技术基础》杨颂华·西安电子科技大学出版社《电子线路设计指导》李银华主编·北京航空航天大学出版社《微型计算机原理与接口技术》冯博琴吴宁主编·清华大学出版社。