上海大学逻辑与可编程控制器plc组态王交通灯实验课程报告

上海大学逻辑与可编程控制器plc组态王交通灯实验课程报告
上海大学逻辑与可编程控制器plc组态王交通灯实验课程报告

评分:_________

SHANGHAI UNIVERSITY

课程报告

COURSE PAPER

题目:组态王交通灯实验

课程名:《逻辑与可编程控制器》

学院

专业

学号

学生姓名

指导教师

目录

一、任务目的: (3)

二、预备知识: (3)

三、交通灯实验说明 (3)

1. 交通灯控制系统:I/O分配表 (3)

2. 程序说明: (4)

3. 梯形图 (6)

4. 指令表 (7)

5. 接线图 (8)

四、应用组态 (9)

1. 组态的新建 (9)

2. 画面设计 (10)

3. 开始画交通灯 (12)

4. COM1端口设置 (12)

5. 数据变量的定义 (13)

6. 变量关联 (15)

7. 模拟演示 (15)

8. 试验成功: (17)

五、实验心得体会: (17)

一、任务目的:

(1)掌握三菱PLC的工程应用设计;

(2)交通灯控制系统;

(3)熟悉组态软件的操作页面和主要功能;(4)HM1组态软件平台训练;

(5)交通灯工程PLC控制综合设计

二、预备知识:

(1)三菱PLC的工程应用实践

(2)FX2N数据手册

(3)了解组态软件

(4)了解工程组态的编程使用方法

三、交通灯实验说明

1.交通灯控制系统:I/O分配表

X000接P01启动开关;

X000接P01启动开关;

X001接P02启动开关功能是停止

Y001接交通灯东西方向绿灯

Y002接交通灯东西方向黄

Y003接交通灯东西方向红灯

Y004接交通灯南北方向绿灯

Y005接交通灯南北方向黄灯

Y006接交通灯南北方向红灯

实验的时候将左边的设为东西方向,右边的设为南北方向

红红1s 红绿3s 红黄

1s 1s

黄红3s 绿红1s 红红

2.程序说明:

Y001,接交通灯插孔TL1,绿灯;

Y002,接交通灯插孔TL2,黄灯;

Y003,接交通灯插孔TL3,红灯;

Y004,接交通灯插孔TL4,绿灯;

Y005,接交通灯插孔TL5,黄灯;

Y006,接交通灯插孔TL6,红灯;

程序开始等待,利用X000控制程序的运行,并利用M0进行自锁,同时设定D1倒计时的初值为1。利用M8013秒脉冲每一秒后对D0进行减1操作以实现倒计时。

东西: 红5秒绿3秒黄1秒红1秒

南北:绿3秒黄1秒红6秒

东西R 5s 1s

G 3s

Y 1s

南北G 3s

Y 1s

R 6s

3.梯形图

4.指令表

5.接线图外部接线图

实物接线图:

四、应用组态

1.组态的新建

选择菜单“文件\新建工程”或单机“新建”按钮,弹出“新建工程向导之一”对话框,如图

2. 画面设计

组态王新建好工程后就可以通过自带的图库等软件快速画出模拟仪器操作的示意图

3.开始画交通灯

图https://www.360docs.net/doc/d613765841.html,1端口设置

5.数据变量的定义

利用组态自带图库中的指示灯控件作为交通信号等,将其正常色与警报色分别设置为交通灯的灭与亮的颜色,用以显示交通灯的亮暗。

在对输出变量进行设置的时候,Y端口只能设置成I/O离散变量,数据类型设置为BIT,数码管的输出值设置为I/O整数,数据类型设置为BCD。

6.变量关联

画面中的灯都应该和数据词典中的变量关联起来

灯关联的都是输出Y :Y001东西绿;Y002东西黄; Y003东西红; Y004南北绿;Y005南北黄;Y006南北红

开关关联的是输入X000

那个空白的面板里的文本关联的D1,用来显示数码管的计时功能

打开GX让交通灯程序运行,将程序导入PLC中,运行正常后关闭GX,将组态王画面切换到view,打开交通灯就可以看见模拟成功。

7.模拟演示

输入文字为文本对象,选择其动画链接为模拟值输出,输出D1寄存器中的数字,用以显示倒计时数字。

基本动画编译好了以后,点击左上角“全部存”,“切换到view”,打开“交通灯”就可以看到模拟演示,不过此时将试验箱的电源开关打开,然后view就开始动起来了。组态王里的画面变化和试验箱的变化基本一致,细小的差别知识时间的读取上存在可以忽视的误差以开启设备,但尚未打开开关让工程运行时的状态

8.试验成功:

五、实验心得体会:

在老师的带领下,我们完成了PLC的实验操作部分。我收获很多,通过这次对PLC控制,让我了解了plc梯形图、指令表、外部接线图有了更好的了解,也让我了解了关于PLC设计原理。有很多设计理念来源于实际,从中找出最适合的设计方法。

这次我们的主要实训项目有霓虹灯,交通灯,混料罐。目的是掌握三菱PLC 的工程应用设计;熟悉组态软件的操作页面和主要功能;HM1组态软件平台训练。

GX这款对于三菱plc的编程软件非常的实用,在使用的过程中只要将梯形图正确的输入,就可以转换成其他的指令语言。并且可以实现实时的监控,在gx 上我们可以清晰的看到plc的各种参数,像I/0口得分配,仿真图形的关系。组态王是一个对整个生产流程进行数据汇总、分析及管理的有效平台,并且能够方便快捷的向工业现场发布控制命令,实现实时控制的功能。在它的辅助下,操作人员能够对流水线上的各个生产状况及时有效地获取信息并及时地做出反应,以获得最优化的效果。

在实验过程中,我明白了团队合作的重要性,不管是GX还是组态,对我们来说都是新的软件,刚学习时不免困难重重。于是,我们更要相互探讨,互相学习,遇到不懂的问题及时问同学和老师,这样才能不断进步。

plc交通灯实验报告

plc交通灯实验报告 篇一:PLC交通灯课程设计报告 信息与电子工程学院 课程设计报告 目录 一、课程设计概述 ................................................ .................. 3 1.1课程设计内容 ................................................ ........................... 3 1.2课程设计技术指标 ................................................ ................... 3 二、方案的选择及确定 ................................................ ........... 4 三、系统硬件设计 ................................................ .................. 5 四、系统软件设计 ................................................ .................. 6 五、触摸屏设计 ................................................ ...................... 8 六、系统调试 ................................................ ......................... 9 七、总结以体

PLC交通灯控制实训报告

《微机原理与控制技术》 课 程 设 计 报 告 题目:____________ 姓名:____________ 学号:____________ 班级:____________ 辅导教师:____________ 日期:____________

目录 目录................................................................................................ 摘要................................................................................................ 1系统概述..................................................................................... 2 硬件设计.................................................................................... 3 软件设计.................................................................................... 总结与体会.................................................................................... 参考文献........................................................................................

PLC新实训设备清单

四、可编程控制器应用系统实训(柔性制造系统实训装置)

TVT-4000E6柔性制造系统实训装置各单元技术指标和要求: 1 总控台 主要组成: 包括:总控系统1套:每套含1)框架式底板及支架;2)工控机;3)计算机接口;4)上位机软件; 单元功能: 工控机通过工业总线对所有设备进行实时监控、参数设置及故障报警。 单元培训内容: 1)计算机组态画面的设计与制作 2)组态数据库的连接训练 3)计算机与单台PLC通讯训练 4)计算机与多台PLC通讯训练

5)计算机高级语言训练 2 五维装配站 五维装配站由物料供给单元、五维机械手搬运单元、装配站、控制柜、智能接口单元等组成,各单元介绍如下: 1)物料供给单元: 单元主要组成: 包括①铝合金框架式支架及桌面;②气动供料单元;③ 井式供料塔单元; ④货料检测单元等。 单元功能:主要完成对零部件的自动供给。 单元培训内容: ① 物料供给时序控制 ② 物料的自动检测 2)多自由度机械手搬运单元: 单元主要组成: 包括:① 铝合金框架式支架及桌面;② 控制柜;③ 步进电机驱动的五维运动机构;④同步双工位机械抓手; 单元功能:主要实现对零件和工件的自动搬运,并把装配好的部件送入环行自动传输系统。 单元培训内容: ①PLC控制机械手联动的程序设计 ②步进电机的恒速运动 ③步进电机的梯形运动 ④步进电机的正转、反转运动 ⑤步进电机进行机械手的联动控制 3)装配单元: 单元主要组成: 包括:1)装配工作台、2)装配定位夹紧系统3)装配系统。 单元功能:主要实现对多种零部件进行装配,装配精度0.02mm。

3变频环形输送站 变频环形输送站由工业变频调速环形自动传输单元、有轨小车单元、智能接口单元等组成 1)工业变频调速环形自动传输单元 单元主要组成: 包括:① 铝合金框架式支架及桌面;② 齿形传送带;③ 自动转向机构; ④ 变频调速系统;⑤控制柜;⑥自动定位系统;⑦ 制动机构; 单元功能:工业变频调速环形自动传输单元由环行线体、装配存取料工位、立体仓库存取料工位、机械手存取料工位组成。环行线系统单元主要是实现货物的自动运输以到达不同的工位,提高工作效率。 传输速率:5米/分——8米/分 单元培训内容: ①光电传感器与电磁阀的控制 ②PLC控制变频器的正反转 ③PLC控制变频器多级调速 ④用PLC的PWM控制变频器无级调速 ⑤PLC通过PROFIBUS总线控制变频器的运行 ⑥PLC通过工业总线控制变频器的运行速度 2)、有轨小车单元 单元主要组成: 包括:1)小车自动定位导向系统;2)货物自动定位机构;3)运载机构;4)载货小车自动分离机构。 单元功能:完成货物自动传输。 4 加工站 主要组成: 包括:1)铝合金框架式支架及桌面;2)控制柜;3)刀库系统单元(由直线导轨、步进电机等组成);4)工件自动定位、夹紧三爪卡盘; 5)主轴电机;6)智能接口单元。 系统功能:立车床由进给步进电机、直线导轨、主轴电机、刀具移动工作台

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

PLC实验报告(交通灯控制系统)

交通灯控制系统 一、实验目的 (1)用PLC构成十字路口交通灯控制系统。 (2)掌握程序调试的步骤和方法。 (3)掌握构建实际PLC控制系统的能力。 二、实验要求 (1)复习PLC常用指令的功能及用法。 (2)复习PLC程序设计的一般方法。 (3)根据实验要求提前编写程序,待上机验证调试修改。 三、实验环境 软件:STEP 7-Micro_WIN V40+ SP9:S7-200的编程软件 STEP 7-Micro_WIN V32指令库 硬件:THSMS-2A型PLC实验箱(西门子)、电脑、连接导线、USB-PPI 通信电缆 四、实验内容及步骤 交通灯控制系统面板图如上图所示,控制要求如下: 交通信号灯受一个总控制开关控制,当总控制开关接通时,信号灯系统开始工作。 开始工作后,南北红灯和东西绿灯同时点亮,4秒后东西绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成东西黄灯亮,2秒后东西黄灯和南北红灯同时熄灭,东西红灯和南北绿灯同时点亮。4秒后南北绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成南北黄灯亮,2秒后南北黄灯和东西红灯同时熄灭,再次切换成南北红灯和东西绿灯同时点亮。如此循环,周而复始。 当总控制开关断开时,所有信号灯都熄灭。

(1)确定I/O点数。列出详细的I/O地址分配表。如(该表仅为举例, (3)输入编好的PLC控制程序。 (4)运行程序,按控制要求设置各输入量,观察PLC运行情况,记录南北、东西各灯顺序亮、灭的运行情况。调试程序直至正确为止。 解:由题目要求得,

②梯形图如下图①,语句表如下图②,时序图如下图③: 图①图① 图②图② 图③ 五、注意事项

plc实验报告

PLC原理及应用实验报告 课程题目 学院名称 专业名称 学生姓名 学生学号 指导老师 设计(论文)成绩 教务处制 2016年月日

第一章 可编程控制器的概述 可编程序控制器,英文称Programmable Logical Controller ,简称PLC 。 它是一个以微处理器为核心的数字运算操作的电子系统装置,专为在工业现场应 用而设计,它采用可编程序的存储器,用以在其内部存储执行逻辑运算、顺序控 制、定时/计数和算术运算等操作指令,并通过数字式或模拟式的输入、输出接 口,控制各种类型的机械或生产过程。PLC 是微机技术与传统的继电接触控制技 术相结合的产物,它克服了继电接触控制系统中的机械触点的复杂接线、可靠性 低、功耗高、通用性和灵活性差的缺点,充分利用了微处理器的优点,又照顾到 现场电气操作维修人员的技能与习惯,特别是PLC 的程序编制,不需要专门的计 算机编程语言知识,而是采用了一套以继电器梯形图为基础的简单指令形式,使 用户程序编制形象、直观、方便易学;调试与查错也都很方便。用户在购到所需 的PLC 后,只需按说明书的提示,做少量的接线和简易的用户程序的编制工作, 就可灵活方便地将PLC 应用于生产实践。 一、可编程控制器的基本结构 可编程控制器主要由CPU 模块、输入模块、输出模块和编程器组成(如下图 所示)。 二、可编程控制器的工作原理 可编程控制器有两种基本的工作状态,即运行(RUN )状态与停止(STOP )状态。 在运行状态,可编程序控制器通过执行反映控制要求的用户程序来实现控制功能。为 了使可编程序控制器的输出及时地响应随时可能变化的输入信号,用户程序不是只执 行一次,而是反复不断地重复执行,直至可编程序控制器停机或切换到STOP 工作状态。 除了执行用户程序之外,在每次循环过程中,可编程序控制器还要完成内部处理、 通信处理等工作,一次循环可分为5个阶段(如图所示) 在内部处理阶段,可编程序控制器检查CPU ,模块内部 的硬件是否正常,将监控定时器复位,以及完成一些别的内 部工作。 在通信服务阶段,可编程序控制器与带微处理器的智能 装置通信,响应编程器键入的命令,更新编程器的显示内容。 在输入处理阶段,可编程序控制器把所有外部输入电路的 接通/断开(ON/OFF )状态读入输入映像寄存器。 在程序执行阶段,即使外部输入信号的状态发生了变化,输入映像寄存器的状态输入模块CPU 模块输出模块可编程序控制器编程装置接触器电磁阀指示灯电源 电源 限位开关选择开关按钮

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

plc实验报告-10页文档资料

题目: PLC实验报告书 专业:机械设计制造及其自动化 老师:袁勇 班级: 072092 学号: 20091001861 姓名:李亚锋 PLC实验报告 一、实验目的: 熟练掌握可编程控制器编程软件的操作方法,熟悉梯程序设计及其编程技巧,完成基本的实验项目。 二、实验内容: 按照下面给出的控制要求编写梯形图程序,下载到可编程控制器中运行。根据运行情况进行调试、修改程序、直到通过为止。 实验项目一: 1.走廊灯两地控制 2.走廊灯三地控制 3.圆盘正反转控制 4.下车直线行驶正反向自动往返控制 5.单按钮单路输出控制 实验项目二: 1. 多谐振荡控制 2. 圆盘计时计数控制

3. 十字交通灯的控制 实验一: 1.走廊灯两地控制 1)控制要求:走廊两地处有两个开关控制一个灯,无论按那个开关灯就亮,再按任何一个开关灯就熄灭。 2)I/O口分配: 3)梯形图程序 图1 走廊两地控制 4)实验结果: 当开关0.00闭合,0.01断开时,灯10.00亮;当开关0.00闭合,0.01闭合,灯10.00灭;当开关0.00断开,0.01闭合,灯10.00亮;当开关0.00断开,0.01断开,灯10.00灭。 2.走廊三地灯控制 1)控制要求:走廊三地处有三个开关控制一个灯,无论按那个开关灯就亮,再按任何一个开关灯就熄灭。 2)I/O分配:

3)梯形图程序: 图2 走廊三地控制 4)实验结果: 三个开关都处于断开状态,随意拨动一个开关电灯就点亮,然后在随意改变一个开关的状态电灯就熄灭,再随意改变一个开关的状态电灯就熄灭。3.圆盘正反转控制 1)控制要求:用三个按钮来控制电机,其中一个控制电机正转,另一个控制电机反转,再一个控制电机停转。 2)I/O分配: 3)梯形图程序: 图3 圆盘正反转控制 4)实验结果: 当拨动正转按钮时,电机正转;当拨动反转按钮时,电机反转;无论电机

PLC实验报告6231937

(此文档为word格式,下载后您可任意编辑修改!) 西门子PCS 7过程控制实验报告 院系:电子电气工程学院 学号: 姓名:屠沪杰 完成时间:2013.1.10

一.过程控制绪论 过程控制系统是以表征生产过程的参量为被控制量使之接近给定值或保持在给定范围内的自动控制系统。这里“过程”是指在生产装置或设备中进行的物质和能量的相互作用和转换过程。表征过程的主要参量有温度、压力、流量、液位、成分、浓度等。通过对过程参量的控制,可使生产过程中产品的产量增加、质量提高和能耗减少。一般的过程控制系统通常采用反馈控制的形式,这是过程控制的主要方式。 过程控制在石油、化工、电力、冶金等部门有广泛的应用。20世纪50年代,过程控制主要用于使生产过程中的一些参量保持不变,从而保证产量和质量稳定。60年代,随着各种组合仪表和巡回检测装置的出现,过程控制已开始过渡到集中监视、操作和控制。70年代,出现了过程控制最优化与管理调度自动化相结合的多级计算机控制系统。80年代,过程控制系统开始与过程信息系统相结合,具有更多的功能。 西门子PCS 7系统是完全无缝集成的自动化解决方案。可以应用于所有工业领域,包括过程工业,制造工业,混合工业以及工业所涉及的所有制造和过程自动化产品。作为先进的过程控制系统,SIMATIC PCS7 形成了一个带有典型过程组态特征的全集成系统。 现场总线技术的出现使传统的控制系统结构产生了革命性的变化,使自控系统朝着智能化、数字化、信息化、网络化、分散化的方向迈进,形成新型的网络集成式全分布式控制系统——现场总线控制系统FCS(Fieldbus Control System)。 现场总线实现了微机化测量控制设备之间实现双向串行多节点数字通信,因为其开放式、数字化、多站点通信、低带宽的特性。所以可以很方便地与因特网(Internet)、企业内部网(Internet)相连。 随着近年来现场总线控制技术的日益成熟和完善,其在工业现场的应用已经

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

PLC实验报告

实验一、认识实验 一、实验目的 1、初步了解EFPLC装置组成及各部分作用 2、初步学会安装和使用STEP7编程软件 3、最简单的程序输入及调试 二、实验设备 1、EFPLC可编程序控制器实验装置 2、输入输出模块 三、实验内容 1、安装STEP7编程软件 2、STEP7编程软件的应用 3、程序的编写及调试 四、上机练习 1、安装STEP7 软件。 2、熟悉编程环境,会输入最简单指令。并运行和调试。 3、学会使用S7-PLCSIM仿真软件。

实验二、基本指令的应用 一、实验目的 1、了解各种基本指令的使用 2、进一步熟悉STEP7的使用 二、实验设备 1、EFPLC可编程序控制器实验装置 2、输入输出模块 三、实验内容 1、位逻辑指令 2、定时器与计数器指令 3、数据处理类指令 4、数学运算类指令 5、逻辑控制类指令 6、程序控制类指令 四、上机练习 练习教材上各种基本指令及习题

实验三、五星彩灯实验 一、实验目的 编制PLC程序,组成不同的灯光闪烁状态。 二、实验设备 1、EFPLC可编程序控制器实验装置 2、五星彩灯及八段码显示实验板EFPLC0101 3、连接导线若干 三、实验内容 1、控制要求:10个红色发光二极管,L1-L10的亮、 暗组合须有一定的规律。隔1秒钟,变化一次,周而 复始循环。 2、I/O(输入、输出)地址分配 五星彩灯板上J3接EFPLC实验装置上的J2。 输出点定义: 3、按照要求编写程序 4、运行 启动程序,仔细观察L1~L10亮暗组合次序是否符合设计要求。若不符合,反复调试;符合则可停止程序。

实验四、八段数码管显示实验 一、实验目的 用PLC完成八段数码管显示 二、实验设备 1、EFPLC可编程序控制器实验装置。 2、电机控制实验板EFPLC0101 。 3、连接导线若干。 三、实验内容 1、控制要求:将八段数码正确显示,并从0-9连续自 动变化。 2、I/O(输入、输出)地址分配 3、按照要求编写程序 4、运行 启动程序,反复调试。符合要求后,停止程序运行。 四、编程练习 配合EFPLC0100实验板,完成一个多组抢答器(四组以上)。 控制要求:在复位后,任一组抢先按下按钮后,数码管应立即显示那一组的组号数字。后按的任何组的按钮不起作用(互锁、自锁)。复位后,可进行下一轮抢答。

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

交通灯的PLC控制实验报告

交通灯的PLC控制实验报告 交通灯的PLC控制 一、实验目的 1.熟悉PLC编程软件的使用和程序的调试方法。 2.加深对PLC循环顺序扫描的工作过程的理解。 3.掌握PLC的硬件接线方法。 4.通过PLC对红绿灯的变时控制,加深对PLC按时间控制功能的理解。5.熟悉掌握PLC的基本指令以及定时器指令的正确使用方法。 二、实验设备 1.含可编程序控制器MicroLogix1500系列PLC的DEMO实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的PC电脑)及编程电缆。3.导线若干 三、实验原理 交通指挥信号灯图 I/O端子分配如下表 输入输出 启动按钮IN/0 东西红灯OUT/0 东西黄灯OUT/1 东西绿灯OUT/2

南北红灯 OUT/3 南北黄灯 OUT/4 南北绿灯 OUT/5 注:PLC 的24V DC 端接DEMO 模块的24V+ ; PLC 的COM 端接DEMO 模块的COM 。 四、系统硬件连线与控制要求 采用1764-L32LSP 型号的MicroLogix 1500可编程控制器,进行I/O 端子的连线。它由220V AC 供电,输入回路中要串入24V 直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。 1764:产品系列的代号 L :基本单元 24 :32个I/O 点(12个输入点,12个输出点) B :24V 直流输入 W :继电器输出 A :100/240V 交流供电 下图为可编程控制器控制交通信号灯的I/O 端子的连线图。本实验中模拟交通信号灯的指示灯由24V 直流电源供电。O/2-O/4为南北交通信号灯,O/5-O/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下: (1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯熄灭。 (2)南北红灯维持25秒。在南北红灯亮的同时东西绿灯也亮,并维持20秒。到20秒时,东西绿灯闪亮,闪亮3秒后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2秒。到2秒钟时,东西黄灯熄灭,东西红灯亮。同时,南北红灯熄灭,南北绿灯亮。 (3)东西红灯亮维持30秒,南北绿灯维持25秒,然后闪亮3秒钟,熄灭。同时南北黄灯亮,维持2秒后熄灭,这时南北红灯亮,东西绿灯亮。 (4)上述信号灯状态周而复始。 五、实验步骤 1.按硬件原理图连接好PLC-DEMO 的电源及输入输出端子,检查无误后通电。 2.在RSLogix500软件中编写PLC 梯形图程序(如下)。 DC COM I/0 V AC VDC V AC VDC O/0 O/1 O/2 O/4 O/3 O/5 红 绿 黄 红 绿 黄 24V DC 24V DC 24V DC MicroLogix1500

PLC实验报告

实验一三人抢答器控制系统 一、实验目的 用plc实现抢答器控制系统。 二、控制要求 (1)开始按钮由主持人操作; (2)3位抢答者操作3个抢答按钮; (3)当主持人按了开始按钮后,使3个报警器均处于断电状态,进入抢答预备状态; (4)此后如某位抢答者按了自己的按钮,使自身的报警器得电,同时互锁了其他抢答者输入信号的有效性,从而达到了唯一的有效性; (5)如要进行下一轮抢答,只有主持人再次按了按钮才能进行。 三、设计思路 (1)抢答器控制系统图 (2)程序流程图

四、I/O分配 (1)I/O点数估算 系统输入信号:开始、1#、2#、3#按钮共需要四个输入端 留出15%的备用点,即需要4+4*15%个输入点,取5个。 系统输出信号:1#、2#、3#警灯共需要三个输出端 留出15%的备用点,即需要3+3*15%个输入点,取4个。(2)用户应用程序占用内大小的估算 开关输入量:4*10=40字节 开关量输出:4*8=32字节 无计数器和定时器,共需要72字节,加上程序存储空间和备用存储空间,初步估计共需要512B。

(3)pc机型号选择 因为本系统是由开关量进行控制的应用系统,对速度有一定要求,可以选用完全能满足该控制要求的,由日本OMRON 公司生产的CPM2A系列40点编程控制器。 (4)系统I/O点的分配 输入输出 开始 1# 2# 3#1#灯 2#灯 3#灯00000 00001 00002 0000301000 01001 01002五、接线 24v 0v 六、系统软件设计 (1)梯形图编程 PLC COM COM COM +24 COM SB1 SB2 SB3 SB4 抢答器输入 L1 L2 L3 抢答器输出

fpga交通灯实验报告

交通灯实验报告

一,实验目的 实现两路信号灯交替亮起,并利用两组数码管分别对两路信号进行倒计时。 两路信号时间分别为: V:绿灯(30S)H:红灯(35S) 黄灯(5s)绿灯(30S) 红灯(35S)黄灯(5S) 二,实验步骤 建立工程 可在欢迎界面点击“Creat a New Project”进入工程建立界面,亦可关闭欢迎界面,点击菜单栏的“File”,点击“New Project Wizard”进入建立工程界面。 右侧为建立工程界面,点击next。

在此界面选定工程路径,取好工程名,点击“Next”。注意:路径中不能有中文,工程名也不能有中文。 一直点击“Next”进入器件设置界面,DE2-70开发工具采用

的Cyclone II系列的EP2C70F896C6N。点击“Finish”,完成工程建立 1、点击“File”,点击“New” 选择“Verilog HDL” 2,点击主界面工具栏中的选择“Verilog HDL” 3、写入verilog代码。

代码如下: module traffic(Clk_50M,Rst,LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V,Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL,led15); parameter S1=2'b00; parameter S2=2'b01; parameter S3=2'b10; parameter S4=2'b11; input Clk_50M,Rst; output LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V; output[6:0] Seg7_VH,Seg7_VL,Seg7_HH,Seg7_HL; output led15; //-------------div for 1Hz-------start---- reg Clk_1Hz; reg [31:0] Cnt_1Hz; always(posedge Clk_50M or negedge Rst) begin if(!Rst) begin Cnt_1Hz<=1; Clk_1Hz<=1; end else begin if(Cnt_1Hz>=25000000) begin Cnt_1Hz<=1; Clk_1Hz<=~Clk_1Hz; end else Cnt_1Hz<=Cnt_1Hz + 1; end end //-----------div for 1Hz------end----- reg[7:0] Cnt30,CntH,CntV,CntHH,CntVV; reg[7:0] CntDis,CntDiss; //-----------30 counter and seg7---start--- reg LedR_H,LedG_H,LedY_H,LedR_V,LedG_V,LedY_V; always(posedge Clk_1Hz) begin

plc实验报告

实验报告 实验课程:电器控制与PLC控制技术实验学生姓名: 学号: 学院名称:信息工程学院 专业班级:自动化141班

2016年1月8日

目录 实验一三相异步点动和自锁控制线路 (1) 实验二三相异步电动机可逆运转控制 (3) 实验三:三相异步电动机Y- 降压启动控制线路 (4) 实验四可编程控制器的基本指令编程练习 (6) 实验五喷泉的模拟控制 (9) 实验六交通灯的模拟控制 (12) 实验七模拟电机正反转 (14)

实验一三相异步电动机单向全压起动及点动控制 一、实验目的 1、了解复合按钮、熔断器、热继电器、接触器的结构、工作原理和使用方法。 2、掌握三相异步电动机起动停止及点动控制控制线路的工作原理及接线方法。 3、熟悉上述线路的故障分析及排除故障的方法。 二、实验电路原理图 三、实验设备及电器元件 1、三相异步电动机1 2、LL—12通用电学实验台1 3、电工工具及导线若干 四、实验步骤 1、熟悉电工实验台、电气控制实验板上各器件分布及使用方法。 2、按电气原理图接线,先接控制电路。 3、自己检查无误后,经指导教师认可后通电试验。

4、操作按钮并观察接触器的吸合情况。 5、完成主电路接线并试验,观察电动机的运行情况。 注意:实验中出现不正常现象时,应断开电源,分析故障原因,排除后方可再通电试验。 五、实验图

六、思考题 1、连续运转与点动控制的区别是什么 答:连续运转就是按下按钮松开后电机能够持续运转,而电动控制是指按下按钮后 电机运行,松开后电机停止运行。 2、实验中如出现点动正常,无法实现连续运转,故障原因有哪些 答:(1)连续运转启动按钮SB3出现故障(2)KA的闭触点或KM的开出点出现问 题,导致不能自锁。 3、实验中如出现电动机转速很低且噪声较大,故障原因是什么 答:可能是某一相发生开路。 七、实验结果分析与体会 实验结果是点动连接时,按下按钮电机运转,松开按钮电机停转。连续运转接线时,按下按钮电机运转,由于自锁,松开按钮电机会继续运转。通过这个实验,我们对于点动与持续运转的原理和实际连接图有了更深的理解,认识了自锁、互锁的接线方式。巩固了课堂所学的知识。 实验二三相异步电动机Y—△减压起动控制 一、实验目的 1、熟悉复合按钮、熔断器、热继电器、接触器、电子式时间继电器的结构、工作原理 和使用方法。 2、掌握三相异步电动机Y—△减压起动控制线路的工作原理及接线方法。 3、熟悉上述线路的故障分析及排除故障的方法。 二、实验线路

交通灯PLC控制实验报告

交通灯的PLC控制实验报告 学院:自动化学院班级:0811103 姓名:张乃心学号:2011213307 实验目的 1.熟悉PLC编程软件的使用和程序的调试方法。 2.加深对PLC循环顺序扫描的工作过程的理解。 3.掌握PLC的硬件接线方法。 4.通过PLC对红绿灯的变时控制,加深对PLC按时间控制功能的理解。 5.熟悉掌握PLC的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器MicroLogix1500系列PLC的DEMO实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的PC电脑)及编程电缆。3.导线若干 实验原理 交通指挥信号灯图

I/O端子分配如下表 输入输出 启动按钮IN/0 东西红灯OUT/0 东西黄灯OUT/1 东西绿灯OUT/2 南北红灯OUT/3 南北黄灯OUT/4 南北绿灯OUT/5 注:PLC的24V DC端接DEMO模块的24V+ ; PLC的COM端接DEMO模块的COM 。 系统硬件连线与控制要求 采用1764-L32LSP型号的MicroLogix 1500可编程控制器,进行I/O端子的连线。它由220V AC供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。 1764:产品系列的代号 L:基本单元 24:32个I/O点(12个输入点,12个输出点) B:24V直流输入 W:继电器输出 A :100/240V交流供电 下图为可编程控制器控制交通信号灯的I/O端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。O/2-O/4为南北交通信号灯,O/5-O/7为东西交通信号灯。 DC COM I/0 V AC VDC V AC VDC O/2 O/3 O/4 O/6 O/5 O/7 红绿 黄红绿 黄 24V DC 24V DC 24V DC MicroLogix1500

PLC实验报告

PLC应用技术实验报告 姓名: 学号: 班级:10062812 2012 年 12 月 25 日

精品文库 实验三基本指令实验 一、实验目的 (1)掌握常用基本指令的使用方法。 (2)学会用基本逻辑与、或、非等指令实现基本逻辑组合电路的编程。 (3)熟悉编译调试软件的使用。 二、实验内容 (1) 走廊灯两地控制 控制要求:楼上楼下开关均可实现对走廊灯操作,点亮或熄灭。 I/O分配:输入信号:楼下开关I0.1、楼上开关I0.3 输出信号:走廊灯Q0.0 梯形图: (2)走廊灯三地控制 控制要求:走廊东西中间开关均可实现对走廊灯的操作,点亮或熄灭。 I/O分配:输入信号:走廊东侧开关I0.1、走廊中间开关I0.3、走廊西侧开关I0.5 输出信号:走廊灯Q0.0 梯形图:

精品文库 (3)通电断电延时控制 控制要求: I0.1 ON OFF Q0.0 ON 2秒 OFF 2秒 I/O分配:输入信号:开关I0.1 输入信号:显示屏Q0.0 梯形图: (4)闪光报警控制 控制要求: I0.1 ON OFF Q0.0 ON 2S OFF 1S I/O分配:输入信号:开关I0.1 输出信号:报警灯Q0.0 梯形图:

(5)按键计数控制 控制要求:按键按下三次信号灯亮,再按两次,信号灯灭。I/O口分配:输入信号:按键I0.0 输出信号:信号灯Q0.0 梯形图:

实验四十字路口交通信号灯控制实验 一、实验目的 熟练使用各基本指令,根据控制要求,掌握PLC的编程方法和程序调试方法,使学生了解用PLC解决一个实际问题的全过程。 二、实验内容 控制要求:信号灯受一个起动开关控制,当起动开关接通时,信号灯系统开始工作,且先南北绿灯亮,东西红灯亮。当起动开关断开时,所有信号灯都熄灭。 南北绿灯亮维持5秒,此时东西红灯亮并维持10秒。南北绿灯亮5秒后,闪亮3秒后熄灭,黄灯亮,并维持2秒,结束后黄灯熄灭,红灯亮,同时,东西红灯熄灭,绿灯亮。东西绿灯亮维持5秒,此时南北红灯亮并维持10秒。东西绿灯亮5秒后,闪亮3秒后熄灭,黄灯亮,并维持2秒,结束后黄灯熄灭,红灯亮。同时,南北红灯熄灭,绿灯亮,周而复始。I/O分配:输入信号:启动I0.0 输出信号:南北绿灯Q0.0、黄灯Q0.1、红灯Q0.2,东西绿灯Q0.3、黄灯Q0.4红灯Q0.5 功能框图:

相关文档
最新文档