霓虹灯控制器的设计实验报告
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
学生实验报告
else
if temp="111" then
temp<="000";clk_s<=not clk_s;
else temp<=temp+1;
end if;
end if;
end if;
clk_out<=clk_s;
end process;
end behave ;
模块打包:
speed clk
clr clk_out
speed
inst5
波形仿真:
程序设计:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity nihong is
port (clk,clr ,mode:in std_logic;
xianshi : out std_logic_vector(3 downto 0) ;
LED: out std_logic_vector(7 downto 0)); end;
architecture behave of nihong is
signal qq :std_logic_vector(3 downto 0);
begin
process(clk)
begin
模块打包:
clk clr mode xianshi[3..0]LED[7..0]
nihong
inst6
仿真波形:
整体设计
clk clr mode xianshi[3..0]LED[7..0]
nihong
inst
speed clk clr clk_out
speed
inst1
VCC
clr
INPUT VCC
clk
INPUT VCC speed INPUT VCC
mode
INPUT LED[7..0]
OUTPUT
xianshi[3..0]OUTPUT
指针输入:
程序下载:
三、实验结论与心得
这次实验是霓虹灯控制器的设计,在整个实验的过程中都是自己完成不但的熟悉了实验流程和QUARTUS软件环境,并且对实验中出现的问题自己能发现与解决。可以说有点喜欢上了这门课了。
五、实验评价(教师)
1. 实验步骤正确,完成了本实验的全部内容。很好()一般()否()
2. 实验数据全面,调试步骤准确,结果正确。很好()一般()否()
3. 实验报告格式规范,图表清晰。很好()一般()否()
成绩教师签名批改时间年月日