数电课设

数电课设
数电课设

学号:0121011360514

课程设计

题目交通信号灯控制器设计

学院自动化学院

专业自动化

班级自动化1005班

姓名杨胜

指导教师李波李彬

2012 年 6 月30 日

课程设计任务书

学生姓名:杨胜专业班级:自动化1005

指导教师:李波李彬工作单位:武汉理工大学

题目: 交通信号灯控制器设计

初始条件:

1.运用所学的模拟电路和数字电路等知识;

2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片或微处理器等。要求完成的主要任务:

1.假设一路口为东西南北走向。初始状态0为东西红灯,南北红灯,

2.然后转状态1东西绿灯通车,南北红灯。

3.过25s转状态2,东西绿灯灭,黄灯闪烁5次,南北仍红灯。

4.再转状态3,南北绿灯通车,东西红灯亮。

5.过20s转状态4,南北绿灯灭,闪5次黄灯,东西仍是红灯。

6.最后循环至状态1,如此不断周期循环下去。

7.严格按照课程设计说明书要求撰写课程设计说明书。

时间安排:

第1天下达课程设计任务书,根据任务书查找资料;

第2~4天进行方案论证,软件模拟仿真并确定设计方案;

第5天提交电路图,经审查后领取元器件;

第6~8天组装电路并调试,检查错误并提出问题;

第9~11天结果分析整理,撰写课程设计报告,验收调试结果;

第12~14天补充完成课程设计报告和答辩。

指导教师签名: 2012年 6月25日

系主任(或责任教师)签名: 2012年 6月25日

目录

引言.............................................................. I 1 设计意义及要求.. (1)

1.1设计意义 (1)

1.2设计要求 (1)

2 方案设计 (1)

2.1设计思路 (1)

2.2.1 方案一 (2)

2.2.2设计方案二 (4)

2.2.3方案比较 (4)

3. 部分电路设计 (4)

3.1秒脉冲信号发生电路 (5)

3.2计时电路 (5)

3.3控制电路的设计 (7)

4调试与检测 (9)

4.1调试中故障解决方法 (9)

4.2调试与运行结果 (9)

5仿真操作步骤及使用说明 (10)

5.1各部件说明: (10)

5.2操作说明 (10)

6.体会与总结 (10)

参考文献 (11)

附录一 (12)

本科生课程设计成绩评定表 (13)

交通信号灯控制器设计

引言

在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。

本交通灯是通过555产生一个1HZ秒脉冲信号,将秒脉冲信号送入计数器,计数器由两个74LS163和一些门电路组成。计数器又与由一个74LS194构成的移位寄存器和一些门电路构成控制部分控制交通灯。

关键词交通灯计数器移位寄存器控制

1 设计意义及要求

1.1设计意义

交通灯是现代城市不可或缺的工具,它在维持正常交通秩序中起着至关重要的作用,本次设计,小组成员模拟了交通信号灯控制器的实现,感受到现代科技从人工到自动化进而智能的飞跃,通过全程具体的仿真实现和搭建实物,培养了我们分析解决现实生活问题的能力,提升了我们逻辑思辨性思维,最主要的是综合运用所学的数字电子技术的基本知识,包括熟悉集成电路的引脚安排、各芯片的逻辑功能及使用方法,通过使用Proteus仿真技术,独立完整地设计一定功能的电子电路,以及仿真调试等。

1.2设计要求

(1)假设一路口为东西南北走向。初始状态0为东西红灯,南北红灯。(2)然后转状态1东西绿灯通车,南北红灯。

(3)过25s转状态2,东西绿灯灭,黄灯闪烁5次,南北仍红灯。

(4)再转状态3,南北绿灯通车,东西红灯亮。

(5)过20s转状态4,南北绿灯灭,闪5次黄灯,东西仍是红灯。

(6)最后循环至状态1,如此不断周期循环下去。

2 方案设计

2.1设计思路

通过分析设计要求,了解到交通灯有五种状态:初始状态0为东西红灯,南北红灯;状态1为东西绿灯通车,南北红灯,持续25s;状态2为东西绿灯灭,黄灯闪烁5次,南北仍红灯;状态3为南北绿灯通车,东西红灯亮,持续20s;状态4为南北绿灯灭,闪5次黄灯,东西仍是红灯。如图1所示。

状态0

东西红灯,南北红灯持续一小段时间

状态1

东西绿灯,南北红灯

持续25s

状态2

东西黄灯闪烁5次,

南北红灯

状态3

东西红灯,南北绿灯

持续20s

状态4

东西红灯,

南北黄灯闪5次图1

由交通灯真值表可知若用一个移位寄存器来控制灯的亮灭会比较方便。通过记时部分反馈给寄存器脉冲信号来转换灯的状态来实现要求比较方便。

2.2方案设计

2.2.1 方案一

方案一电路图

D03D14D25D36SR 2SL 7CLK 11S09S110MR 1

Q015Q114Q213Q3

12

U1

74LS194

D03Q014D14Q113D25Q212D36Q311RCO

15

ENP 7ENT 10CLK 2LOAD 9MR 1

U2

74LS163

D03Q014D14Q113D25Q212D36Q311RCO

15

ENP 7ENT 10CLK 2LOAD 9MR 1

U3

74LS163

1

2

3

U5:A

74LS08

1213

12

U6:A

74LS114

5

6

U5:B

74LS08

9

10

8

U5:C

74LS08

12

13

11

U5:D

74LS08

1

2

3

U7:A

74LS08

1

2

3

U8:A

74LS32

4

56

U8:B

74LS32

9

108

U8:C

74LS32

12

1311

U8:D

74LS32

R1

10k

1

2

U4:A

74LS04

R2

10k

3

4

U4:B

74LS04

1

23

U9:A

74LS32

R

4

DC

7

Q 3G N D

1

V C C

8

TR 2

TH

6

CV

5

U10

555

VCC

C1

10nF

R3

3.054k

R4

7.214k

V C C

C2

100uF

4

5

6

U7:B

74LS08

9

10

8

U7:C

74LS08U7:B(A)

U7:C(B)

图2

原理说明:

首先利用555定时器组成频率为1HZ 多谐振荡器产生时钟脉冲信号为计数器提供时钟脉冲,然后由两片74LS163芯片组成计数器,通过一些门电路的作用将反馈脉冲信号输入移位寄存器,使寄存器改变状态从而使交通信号灯转换状态。

其中用两个74LS163芯片可同时构成25s,20s,5s 计数器。移位寄存器预先置入数据0001,且寄存器设置成向右移位,这样寄存器的输出有且仅有一个1,将寄存器的四个输出与计数器分别相与然后再将四个相与的结果相或最后反馈输入到寄存器cp 端这样就可以使寄存器控制交通灯的转换。例如将寄存器Q3端与五秒计数器相与连接,由于寄存器其他端输出为零因而只有在五秒时间到后才会有一个脉冲输入到寄存器cp 端使寄存器转换到1000状态,进而实现了控制时间和状态转换的功能。由此可分析其他计数器与寄存器共同作用时对交通灯的控制。 又由于寄存器为循环计数所以可以实现交通灯状态转换的循环。

2.2.2设计方案二

单片机的定时器具有原理简单,编程方便,定时精确等优点。可以产生频率可控,稳定的时钟信号。

STC89C52单片机具有40个i/o 口,P0~P3共4组40个i/o ,这些i/o 可以当做普通i/o 口,每个i/o 都可位操作,可读可写,操作起来非常方便,且P3口有第二功能,设置相应的寄存器就可以使用第二功能。

单片机外围电路简单,基于本设计,我们只需要在STC89C52单片机最小系统外再搭建12个LED 信号灯电路和数码管时间显示电路,通过程序的控制,即可实现交通信号灯的设计。相对来说,方案简单易行,制作实物较为轻松,且系统不易受外界干扰,稳定性强。

2.2.3方案比较

两个方案都能实现预定功能,方案一易于理解,方案二易于操作连线,因而在实验连线做实物时选用方案二比较合适。

3.部分电路设计

51单片机

数码管

红黄绿灯

拨码开关按键

3.1 秒脉冲信号发生电路

以555定时器接外接电路组成多谐振荡器发出频率为1Hz的脉冲信号,用作计数器的CLK 信号。

555定时器引脚图如图所示,由脉冲频率公式:f≈1/ (R2+2*R1)C㏑2,要使f=1Hz,可以选择R1=5K,R2=5K,C=100uF,经计算可知,f≈1Hz。

图 555定时器引脚图

图脉冲信号发生器

3.2计时电路

一片74LS163芯片可以组成16进制计数器,若用两片74LS163则可以拓展它的计数位数。由于题目中需要用到25秒和20秒计数器因而用两块芯片实现功能。

管脚图介绍:时钟cp和四个数据输入端D0,D1,D2,D3

清零CR 使能端EP ET

进位端CO

74LS163管脚图及功能表

计时电路电路由两块74LS163组成(如下图所示)

下图给出了25S和5S计数功能,另外20S计数功能只需将Q4,Q1,Q0以三输入与门连接即可。

芯片工作原理:两块芯片输入端都接低电平,右边一块芯片使能端接1,左边使能端与右边芯片进位端相连,这样在脉冲作用下右边芯片从0000开始计数,当右边芯片到1111后

在一个时钟脉冲作用下产生进位,使右边输出为0001,这样整个输出为00010000因而实现了计数拓展。可以满足25,20和5计数要求。

3.3控制电路的设计

用一块74LS194芯片构成循环移位计数器。

芯片管脚图及功能表如下:

CR是清零端;M1,M0为工作状态控制端;

SL为左移时的串行输入端;

SR为右移时的串行输入端;

Q0,Q1,Q2,Q3是并行输出端;

D0,D1,D2,D3是并行输入端。

当CR=0时,各触发器均被复位,寄存器内寄存的全部数据全被清零。只有当CR=1时,寄存器才能正常工作。

当M1=0,M0=1时,在CP上升沿作用下,移位寄存器进行右移操作。

如上图为寄存器连入电路图中的状态。其中D0,D1,D2 接低电平,D3,S0接高电平,SR 接Q3.CP接反馈信号。S1外接置数电路。

如下图所示为交通灯与寄存器的连接方法。其中对于东西方向交通灯来讲,绿灯接Q0,黄灯Q1与一个时钟脉冲相与后的输出,红灯接Q2,Q3相与后的输出。南北方向交通灯,绿灯接Q2,红灯接Q0,Q1相与后的输出,黄灯接Q3和时钟脉冲相与后的输出。这样由寄存器和计数器以及与非门的使用可以实现控制交通灯。

控制原理说明:东西方向绿灯与Q0相连,南北方向红灯接Q0Q1相与后的输出。置数完成后,给计数器加上脉冲信号,此时寄存器输出为Q0Q1Q2Q3=1000对应状态1东西绿灯通车,南北红灯。过25秒有一个脉冲输入寄存器此时输出为Q0Q1Q2Q3=0100对应状态2东西绿灯灭,黄灯闪烁5次,南北仍红灯。黄灯五次后寄存器转状态0010此时转状态3,南北绿灯通车,东西红灯亮。过20秒有脉冲输入寄存器,转态变为0001此时转状态4南北绿灯灭,闪5次黄灯,东西仍是红灯。最后循环至状态1,如此不断周期循环下去。

4调试与检测

4.1 调试中故障解决方法

方案一的调试与检测:依照电路图,在Proteus上连好电路后,点击仿真发现东西方向绿灯亮南北红灯亮但不能转换状态。后经过检测发现74LS194的反馈脉冲输入端之前应接一个反相器。经过改正后仿真得到结果与预期一致。

4.2 调试与运行结果

状态1为东西绿灯通车,南北红灯,持续25s;状态2为东西绿灯灭,黄灯闪烁5次,南北仍红灯;状态3为南北绿灯通车,东西红灯亮,持续20s;状态4为

南北绿灯灭,闪5次黄灯,东西仍是红灯。然后循环。

5仿真操作步骤及使用说明

5.1各部件说明:

1. 555定时器:产生1HZ的时钟脉冲

2. 两块74LS163组成5,20,25计数器。

3. 74LS194实现移位寄存器的作用并控制交通灯。

4. 上面交通灯为东西方向,下面为南北方向。

5.2操作说明

1. 0到25秒东西绿灯通车,南北红灯。

2.25到30秒东西绿灯灭,黄灯闪烁5次,南北仍红灯。

3. 30到50秒南北绿灯通车,东西红灯亮。

4.50到55秒南北绿灯灭,闪5次黄灯,东西仍是红灯。

5.最后循环至状态1,如此不断周期循环下去。

6.体会与总结

通过这次课程设计我发现自己还有很多不足之处需要完善和改进。首先是应该有扎实的专业知识,然后在这基础上多进行实际操作,增强自己的动手能力。例外要对本专业相关的软件有所了解,需要平时自己利用时间多学习。这次课设中出现了一些不懂的地方,经过向同学请教后一些问题都得到解答,以后自己在学习中要做到不懂就问,养成好问的好习惯。本次试验中有同学用单片机完成了预期效果,但是由于自己没学过单片机不能很好的理解同学的思路,在以后的学习中要多逼迫自己多学点。

参考文献

[1]康光华,数字电子技术基础,高等教育出版社,第四版,1999

[2]吴友宇,模拟电子技术基础,清华大学出版社,第一版,2009.05

[3]Charles K. Alexander,电路基础,清华大学出版社,第一版,2000.12

[4]陈晓文,电子线路课程设计,电子工业出版社,2004

[5]阎石,数字电子技术基础,高等教育出版社,2006

[6]许自图,电子电路原理分析与仿真,西安电子工业出版社,2009

附录一附录一

本科生课程设计成绩评定表姓名性别专业、班级

课程设计题目:

课程设计答辩或质疑记录:

成绩评定依据:

设计方案与内容(30分)制作与调试

(20分)

说明书内容

与规范程度

(30分)

答辩

(10分)

学习态度

与考勤

(10分)

总分

(100分)

最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

2012年7月9日

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数电课程设计报告

数电课程设计报告 姓名:李鹏鹏 学号:04113063 指导老师:董瑞军

目录 1.概述 ---------------------------------------------------3 2.原理图 --------------------------------------------------3 3.FPGA与ADC0809VHDL控制程序 ------------------------------3 4.FPGA中储存模块 -----------------------------------------7 5.储存器控制模块 ----------------------------------------8 6.FPGA与DAC0832的连接和控制 ------------------------------11 7.分频模块 ---------------------------------------14 8.顶层模块设计 ----------------------------------------15 9.RTL视图 ----------------------------------------------18 10.研究体会 -----------------------------------------------19

一.概述 课题要求通过FPGA对A/D和D/A转换的控制,使得FPGA的输入量和输出量一致,根据原理设计出如下框架图。本报告主要内容从A/D转换器(ADC0809)前端的测温电路开始,经过取样保持电路,详细介绍了A/D转换器与FPGA芯片VHDL控制程序,以及FPGA的对数据的储存和控制模块,之后说明D/A转换器(DAC0832)控制程序和转换器后端的电路图,并完成顶层模块设计以各模块,主要过程在计算机上进行仿真,报告中附以详尽说明的仿真波形和统计报告。 二.原理图 若模拟信号变化速度较快,需要在A/D之前加入采样保持电路,以保证转换精度。在这里选择LF398(LF398是一个专用的采样保持芯片,它具有很高的直流精度和较高的采样速率,器件的动态性能和保持性能可以通过合适的外接保持电容达到最佳。)模拟输入量U0(t)从IP进入,采样输出量从OP口输出至ADC0809的IN口(IN0-IN7的选择由ADDA-ADDC决定),逻辑输入控制端与START连接。ADC0809和FPGA的连接和FPGA和DAC0832的连接如图,而在DAC0832后端,由于输出的为模拟电流量,若需输出电压量,则可加入如图电路。 三.FPGA与ADC0809VHDL控制程序 ADC0809的引脚图如下 IN0-IN7模拟量输入通道 ADDA,ADDB,ADDC---输入通道选择地址,按其状态选择输入通道。

数电课程设计数字电子钟说明书

数字电子技术电路课程设计题目:数字钟课程设计 学院:XXXXX 专业:XXXXX 班级:XXXX 姓名:XXXX 学号:XXXXX 指导老师:XXXXX

一、设计目的 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 二、设计要求 1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 3.能够正点报时(用555产生断续音频信号); 三、设计方案比较 方案一、采用中小规模集成电路实现 采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。 方案二:EDA技术实现 采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展 方案三、单片机编程实现 此方案采用单片机编程来设计和控制。 综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。 四、设计过程和说明 1.数字电子钟计时和显示功能的实现 (1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。(图)

(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)

数电课程设计题目

按以下要求设计电路,画出逻辑框图,分析原理,在仿真软件中搭建电路并验证结果: 1、试用两片双4选1数据选择器74HC153和3线-8线译码器74HC138接成16选1的数据选择器。 2、试设计一个可以实现余3码和8421BCD码相互转换的逻辑电路。当开关置于某状态时,将余3码转换成8421BCD码;当开关置于另一种状态时,将8421BCD码转换成余3码。 3、试设计一个可显示十进制数0-9的键盘编码器。十个按键,分别对应数字0-9,当按下其中一个键时,十进制数会被编码成相应的BCD码,并由七段数码管显示该按键所对应的数字。 4、试利用D触发器构成T触发器、T’触发器和JK触发器。验证以上4种触发器的功能并加以说明。参照《电工电子技术实验指导》实验26中的实验记录表(如表26-8)验证仿真结果。 5、设计一个数字钟电路,要求能用七段数码管显示从0时0分0秒到23时59分59秒之间的任一时刻。 6、利用两片同步十进制计数器74160接成同步三十一进制计数器,可以附加必要的门电路,并用七段数码管显示计数结果。 7、试用555定时器设计一个单稳态触发器,要求输出脉冲宽度在1-10s的范围内可手动调节。 8、试用555定时器设计一个多谐振荡器,要求震荡周期为1s,输出脉冲幅度大于3V而小于5V,输出脉冲的占空比q=2/3。 9、设计制作一个可容纳4组参赛的数字式抢答器,每组抢答按钮供抢答时使用,且电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮,同时电路应具有自锁功能,使别的抢答开关不起作用。 10、倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。用三个可预置数的减计数器组成三位二-十进制减计数器,用三个译码器和三个LED数码管显示器。

数字电路课设

数字电路课程设计数字闹钟 学生姓名: 班级学号: 设计时间:

一、设计任务与技术指标 设计并制作一个可定时起闹的数字钟,并具有以下指标: 1、有“时”、“分”十进制显示,“秒”使用发光二极管闪烁表示; 2、以24小时为一个计时周期; 3、走时过程中能按预设的定时时间(精确到小时)启动闹钟,以发光二极管闪烁表示,启闹时间为3s~10s。 二、实验仪器及主要器件 5V电源 1台 面包板 1块 万用表 1只 74LS163 6片 74LS00 5片 74LS138 2片 CD4511 4片 LM555 1片 74LS123 1片 LED共阴极显示器 4片 电阻若干 电容 2个 导线若干米

三、设计原理 该系统由秒信号发生器、走时电路、闹钟电路等部分组成。 1、标准时间源 (1)标准时间源即秒信号发生器 (2)可采用LM555构成多谐振荡器,调整电阻可改变频率,使之产生1Hz的脉冲信号(即T=1S) LM555管脚排列及电路 2.计时部分 时计数单元一般为24进制计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。每一部分都由两片计数器(74LS163)级联构成。

(1)秒计时器和分计时器: 模60计数器分成个位和十位,个位模十,十位模六。个位从0000计数到1001,利用置数端将个位从0000重新开始计数,同时将1001信号作为一个CP脉冲信号传给十位,让十位开始从0000开始计数。以此规律开始计数,直到十位计数到5,个位计数到9时,通过十位的置数端将十位清零,重新开始计数,并将此信号作为一个CP脉冲信号传给分计数器。

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数电课程设计电梯控制系统新编

湖南工程学院课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级 1081 学号 姓名罗超超 指导教师龚志鹏 2012年 11月 23日湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期 2012年11月19日星期一 设计完成日期 2012年11月23日星期五

目录

一、系统总体设计方案及系统框图 设计方案: ⑴系统的时钟脉冲信号是由函数发生器发生。时钟信号通过一定与门和与非门输入同步加减计数器74LS192N,控制其加减计数。 ⑵系统的开关控制电路是由D触发器和与非门构成,控制信号灯的工作状态。 ⑶系统的核心电路是由编码器74LS148N,数据比较器74LS85,同步可加减计数器74LS192N,与一定的门电路组成,实现对电梯的上升和下降的控制。 设计原理: ⑴系统的信号灯控制部分是由开关和D触发器构成,开关先接高电平,按下开关时,给了一个高电平触发信号输入给D触发器使其锁存高电平信号,从而使信号灯一直亮直到信号结束。 ⑵系统的核心部分是由编码器74LS148N,数据比较器74LS85,同步十进制可逆计数器74LS192N,译码器CD4511和一定的门电路组成。按下开关时,产生的高电平信号由D触发器传给74LS148N编码器,产生后的编码输入74LS85数据比较器,通过与74LS192N输出编码比较,产生

的信号再连接时钟信号通过一定的门电路输入74LS192N计数器,控制计数器的加减,从而实现电梯的上升与下降。 系统总电路图: 二、单元电路的设计 信号的输入,锁存及显示: 该单元电路如下图所示: 将D触发器的Q非端与D端相连组成T触发器,当时钟输入端CLK输入高点平,Q端的状态翻转。D触发器的复位端输入复位信号,Q端输出低电平,LED灯熄灭。

数电课程设计题目汇总资料

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

数电课设交通灯

太原理工大学

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下内容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 (3)灵活掌握部分74LS 系列集成电路的使用。 (4)掌握Multisim 仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器 ……………………………………装………………………………………订…………………………………………

根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 交 灯 状 态 转

数电课设报告

数电课设报告

、 西安电子科技大学 电子技术应用设计课程实验报告实验名称改通用示波器为简易的逻辑分析仪 网络与信息安全学院 1518021 班 姓名 ** 学号 ** 同作者无 实验日期 2017 年 12 月21 25 日 实验地点 E-II-310

一、方案设计报告 1、任务要求 通过扩展示波器的功能,完成简易逻辑分析仪的设计。 2、方案的原理及可行性 A、组成 通用示波器通常由显示器件(阴极射线管)、垂直放大器、触发器 或同步电路、时基、水平放大器、门控放大器、电源等组成,其 框图如下所示。 B、工作原理 被测信号经垂直放大器后加到示波器的垂直(Y轴)的偏转系统, 使电子射线的垂直偏转距离正比于输入信号的瞬时值。在示波管 的水平(X轴)偏转系统上加以随时间线性变化的信号;使电子射 线在水平偏转正比于时间,那么再示波管的屏幕上就得到输入信 号的时间波形。由于水平偏转系统所加线性变化的信号不可能无 限增长,荧光屏的尺寸也有限,故实际线性变化的信号(扫描信 号)是一锯齿波,这样就能使输入信号的时间波形在荧光屏上反 复出现。当锯齿波的重复周期等于输入信号周期(或输入信号周 期的整数倍)时,每次重复出现的波形正好完全重合(同步)就 可看到稳定的波形。 C、双踪示波器 对于双踪示波器,则是由一个电子开关来控制Y轴偏移电压,使

其在第一个扫描周用内接通第一路信号,在第二个扫描周期接通第二路信号(在两个扫描周期可以加入不同的偏移电压),交替进行。这样在屏幕上就可同时看到两个波形。如图所示。实际上示波器是分时工作。 D、示波器功能扩展 根据上述原理,若要示波器能够同时观察多个波形。只需在每个波形加入Y轴放大器(垂直放大器)的同时加一偏移电压,然后调节扫描周明便能得到稳定的多个波形。示波器观察多个波形功能扩展框图如下。

数电课设LED猜盘游戏分析方案

课程设计说明书课程设计名称:脉冲数字电路课程设计课程设计题目: LED猜盘游戏 学院名称:信息工程学院 专业:电子信息工程班级: 学号:: 评分:教师: 20 13年 11月 27 日

脉冲数字电路课程设计任务书 2018-2018学年第一学期第12周-13周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 转盘游戏是一种特别有意思的游戏,它突出了事件的随机性,人们根据这 种不确定性事件的等可能发生性进行猜测,然后通过转盘开始旋转直至停下,来验证猜测是否准确。近年来,由简单的猜盘逐渐发展到益智转盘游戏、猜奖、老虎机等等不同形式,广受大家的喜爱。因此,本次课程设计采用数字电路知识,利用时钟振荡脉冲进行计数并控制发光二极管的亮灭来简单实现转 盘游戏的功能。本次设计中,采用两片NE555芯片以及一片CD4017脉冲分配器来完成 设计要求。该方案利用NE555定时器构成单稳触发器和多谐振荡器,构成时钟脉冲发生电路模块,CD4017实现时序分配模块,LED实现显示模块。时钟振荡电路控制脉冲信号的时间长短和频率,送入CD4017实现对脉冲信号的分配,出现的与时钟同步的高电平控制LED的亮灭,在指定的时间围使高速旋转 的LED停止在某一盏灯上。关键词:LED猜盘游戏,NE555,CD4017,时钟振荡脉冲,时序分配

目录 第一章设计的目的及要求 1.1设计目的 (1) 1.2 设计要求 (1) 第二章方案比较及确定 2.1 设计要求分析 (2) 2.2 方案比较 (2) 2.2.1 方案一 (2) 2.2.2 方案二 (4) 2.2.3 方案三 (5) 2.3 方案确定 (6) 第三章系统组成及工作原理 3.1系统构成 (7)

相关文档
最新文档