数字电路实验注意事项及基本要求

数字电路实验注意事项及基本要求
数字电路实验注意事项及基本要求

数字电路实验注意事项及基本要求

1.1数字集成电路的分类、特点及注意问题

当今,数字电子电路几乎已完全集成化了。因此,充分掌握和正确使用数字集成电路,用以构成数字逻辑系统,就成为数字电子技术的核心内容之一。

集成电路按集成度可分为小规模、中规模、大规模和超大规模等。小规模集成电路(SSI)是在一块硅片上制成约1~10个门,通常为逻辑单元电路,如逻辑门、触发器等。中规模集成电路(MSI)的集成度约为10~100门/片,通常是逻辑功能电路,如译码器、数据选择器、计数器、寄存器等。大规模集成电路(LSI)的集成度约为100门/片以上,超大规模(VLSI)约为1000门/片以上,通常是一个小的数字逻辑系统。现已制成规模更大的极大规模集成电路。

数字集成电路还可分为双极型电路和单极型电路两种。双极型电路中有代表性的是TTL电路;单极型电路中有代表性的是CMOS电路。国产TTL 集成电路的标准系列为CT54/74系列或CT0000系列,其功能和外引线排列与国际54/74系列相同。国产CMOS集成电路主要为CC(CH)4000系列,其功能和外引线排列与国际CD4000系列相对应。高速CMOS系列中,74HC 和74HCT系列与TTL74系列相对应,74HC4000系列与CC4000系列相对应。

必须正确了解集成电路参数的意义和数值,并按规定使用。特别是必须严格遵守极限参数的限定,因为即使瞬间超出,也会使器件遭受损坏。

TTL器件的特点:

1.输入端一般有钳位二极管,减少了反射干扰的影响。

2.输出电阻低,增强了带容性负载的能力。

3.有较大的噪声容限。

4.采用+5V的电源供电。

为了正常发挥器件的功能,应使器件在推荐的条件下工作,对CT0000系列(74LS系列)器件,主要有:(1)电源电压应 4.75~5.25V的范围内。(2)环境温度在00C~700C之间。(3)高电平输入电压V I H>2V,低电平输入电压V S L<0.8V。(4)输出电流应小于最大推荐值(查手册)。(5)工作频率不能高,一般的门和触发器的最高工作频率约30MHZ左右。

TTL器件使用注意问题:

1.电源电压应严格保持在5V±10%的范围内,过高易损坏器件,过低则不能

正常工作,实验中一般采用稳定性好、内阻小的直流稳压电源。使用时,应特别注意电源与地线不能错接,否则会因过大电流而造成器件损坏。2.多余输入端最好不要悬空,虽然悬空相当于高电平,并不能影响与门(与非门)的逻辑功能,但悬空时易受干扰,为此,与门、与非门多余输入端可直接接到V c c上,或通过一个公用电阻(几千欧)连到V c c上。若前级驱动能力强,则可将多余输入端与使用端并接;不用的或门、或非门输入端直接接地,与或非门不用的与门输入端至少有一个要直接接地,带有扩展端的门电路,其扩展端不允许直接接电源。若输入端通过电阻接地,电阻值的大小将直接影响电路所处的状态,当R≤680Ω时,输入端相当于逻辑“0”;当R≥4.7KΩ时,输入端相当于逻辑“1”。对于不同系列的器件,要求的阻值不同。

3.输出端不允许直接接电源或接地,有时为了使后级电路获得较高的输出电平,允许输出端通过电阻R接至Vcc,一般取R=3~5.1 KΩ;不允许直接并联使用(集电极开路门和三态门除外)。

4.应考虑电路的负载能力(即扇出系数),要留有余地,以免影响电路的正常工作。扇出系数可通过查阅器件手册或计算获得。

5.在高频工作时,应通过缩短引线、屏蔽干扰源等措施,抑制电流的尖峰干扰。

CMOS数字集成电路的特点

1.静态功耗低:电源电压V D D=5V的中规模电路的静态功耗小于100μW,从而有利于提高集成度和封装密度,降低成本,减小电源功耗。

2.电源电压范围宽:4000系列CMOS电路的电源电压范围为3~18V,从而使选择电源的余地大,电源设计要求低。

3.输入阻抗高:正常工作的CMOS集成电路,其输入端保护二极管处于反偏状态,直流输入阻抗可大于100MΩ,在工作频率较高时,应考虑输入电容的影响。

4.扇出能力强:在低频工作时,一个输出端可驱动50个以上的CMOS器件的输入端,这主要因为CMOS器件的输入电阻高的缘故。

5.抗干扰能力强:CMOS集成电路的电压噪声容限可达电源电压的45%,而且高电平和低电平的噪声容限值基本相等。

6.逻辑摆幅大:空载时,输出高电平V O H>(V D D-0.05V),输出低电平V O L <(V S S+0.05V)。

CMOS集成电路还有较好的温度稳定性和较强的抗辐射能力。不足之处是,一般CMOS器件的工作速度比TTL集成电路低,功耗随工作频率的升高

而显著增大。

CMOS器件的输入端和V S S之间接有保护二极管,除了电平变换器等一些接口电路外,输入端和正电源V D D之间也接有保护二极管,因此,在正常运转和焊接CMOS器件时,一般不会因感应电荷而损坏器件。但是,在使用CMOS数字集成电路时,输入信号的低电平不能低于(V S S-0.5V),除某些接口电路外,输入信号的高电平不得高于(V D D+0.5V),否则可能引起保护二极管导通,甚至损坏进而可能使输入级损坏。

CMOS器件使用注意事项:

1.电源连接和选择:V D D端接电源正极,V S S端接电源负极(地)。绝对不许接错,否则器件因电流过大而损坏。对于电源电压范围为3V~18V系列器件。如CC4000系列,实验中V D D通常接+5V电源。V D D电压选在电源变化范围的中间值,例如电源电压在8~12V之间变化,则选择V D D=10V 较恰当。CMOS器件在不同的V D D值下工作时,其输出阻抗、工作速度和功耗等参数都有所变化,设计中须考虑。

2.输入端处理:多余输入端不能悬空。应按逻辑要求接V D D或接V S S,以免受干扰造成逻辑混乱,甚至还会损坏器件。对于工作速度要求不高,而要求增加带负载能力时,可把输入端并联使用。

对于安装在印刷电路板上的CMOS器件,为了避免输入端悬空,在电路板的输入端应接入限流电阻R P和保护电阻R,当V D D=+5V时,R P取5.1KΩ,R一般取100KΩ~1MΩ。

3.输出端处理:输出端不允许直接接V D D或V S S,否则将导致器件损坏,除三态(TS)器件外,不允许两个不同芯片输出端并联使用,但有时为了增加驱动能力,同一芯片上的输出端可以并联。

4.对输入信号V I的要求:V I的高电平V I H<V D D,V I L的低电平V I L小于电路系统允许的低电压;当器件V D D端未接通电源时,不允许信号输入,否则将使输入端保护电路中的二极管损坏。

1.2集成电路外引线的识别

使用集成电路前,必须认真查对识别集成电路的引脚,确认电源、地、输入、输出、控制等端的引脚号,以免因接错而损坏器件。引脚排列的一般规律为:

圆形集成电路:识别是,面向引脚正视,从定位销顺时针方向依次为1.2.3……如图B1.1(a)。圆形多用于集成运放等电路。

扁平和双列直插型集成电路:识别时,将文字,符号标记正放(一般集

成电路上有一圆点或有一缺口,将圆点或缺口置于左方),由顶部俯视,从左下脚起,按逆时针方向数,依次1.2.3……如图B1.1(b )。在标准形TTL 集成电路中,电源端Vcc —般排列在左上端,接地端GND 一般排在右下端,如74LS00为14脚芯片,14脚为Vcc ,7脚为GND 。若集成电路芯片引脚上的功能标号为NC ,则表示该引脚为空脚,与内部电路不连接。

扁平型多用于数字集成电路,双列直插型广泛用于模拟和数字集成电路。

1.3数字逻辑电路的测试方法

1. 组合逻辑电路的测试

组合逻辑电路测试的目的是验证其逻辑功能是否符合设计要求,也就是验证其输出与输入的关系是否与真值表相符。

(1). 静态测试。静态测试是在电路静止状态下测试输出与输入的关系。将输入端分别接到逻辑电平开关上,用电平显示灯分别显示各输入和输出端的状态。按真值表将输入信号一组一组地依次送入被测电路,测出相应的输出状态,与真值表相比较,借以判断此组合逻辑电路静态工作是否正常。

(2). 动态测试动态测试是测量组合逻辑电路的频率响应。在输入端加上周期性信号,用示波器观察输入、输出波形。测出与真值表相符的最高输入脉冲频率。

2. 时序逻辑电路的测试

时序逻辑电路测试的目的是验证其状态的转换是否与状态图或时序图相符合。可用电平显示灯、数码管或示波器等观察输出状态的变化。常用的测试方法有两种,一种是单拍工作方式:以单脉冲源作为时钟脉冲,逐拍进行观测,来判断输出状态的转换是否与状态图相符。另一种是连续工作方式:以连续脉冲源作为时钟脉冲,用示波器观察波形,来判断输出波形是否与时序图相符。

1.4数字实验的基本过程

实验的基本过程,应包括:确定实验内容、选定最佳的实验方法和实

线路、拟出较好的实验步骤、合理选择仪器设备和元器件、进行连接安装和调试、最后写出完整的实验报告。

在进行数字电路实验时,充分掌握和正确利用集成器件及其构成的数字电路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做好实验预习、实验记录和实验报告等环节。

实验预习:

认真预习是做好实验的关键。预习好坏,不仅关系到实验能否顺利进行,而且直接影响实验效果。预习应按本教材的实验预习要求进行,在每次实验前首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实验做到心中有数,以保证所预习设计的内容正确,这样不但可拓宽设计思路,也可大大节省实际在实验室操作的时间和排错的时间,提高实验效率。通过预习还应做好实验前的准备,写出一份预习报告,其内容包括:

1.绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于连接线,又反映电路原理,并在图上标出器件型号、使用的引脚号及元件数值,必要时还须用文字说明。

2.拟定实验方法和步骤。

3.拟好记录实验数据的表格和波形座标,并记录预习的理论值。

4.列出元器件清单。

实验记录是实验过程中获得的第一手资料。测试过程中所测试的数据和波形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现场及时重复测试,找出原因。实验记录应包括如下内容:

1.实验任务、名称及内容。

2.实验数据和波形以及实验中出现的现象,从记录中应能初步判断实验的正确性。

3.记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对齐。

4.实验中实际使用的仪器型号和编号以及元器件使用情况。

实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识和理解,从而进一步扩大知识面。实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。

报告内容应包括实验目的、实验内容和结果、实验使用仪器和元器件以及分析讨论等,其中实验内容和结果是报告的主要部分,它应包括实际完成的全部实验,并且要按实验任务逐个书写,每个实验任务应有如下内容:

1.实验课题的方框图、逻辑图(或测试电路)、状态图,真值表以及文字说明等,对于设计性课题,还应有整个设计过程和关键的设计技巧说明。

2.实验记录和经过整理的数据、表格、曲线和波形图,其中表格、曲线和波形图应充分利用专用实验报告简易座标格,并且三角板、曲线板等工具描绘,力求画得准确,不得随手示意画出。

3.实验结果分析、讨论及结论,对讨论的范围,没有严格要求,一般应对重要的实验现象、结论加以讨论,以便进一步加深理解,此外,对实验中的异常现象,可作一些简要说明,实验中有何收获,可谈一些心得体会。1.5数字实验中操作规范和常见故障检查方法

实验中操作的正确与否对实验结果影响甚大。因此,实验者需要注意按以下规程进行。

1.搭接实验电路前,应对仪器设备进行必要的检查校准,对导线是否导通,用万用表进行测量,对所用集成电路是否好坏,搭接简单电路进行功能测试。

2.搭接电路时,应遵循正确的布线原则和操作步骤(即要按照先接线后通电,做完后,先断电再拆线的步骤)。

3.掌握科学的调试方法,有效地分析并检查故障,以确保电路工作稳定可靠。

4.仔细观察实验现象,完整准确地记录实验数据并与理论值进行比较分析。

5.实验完毕,经指导教师同意后,可关断电源拆除连线,整理好放在实验箱内,并将实验台清理干净、摆放整洁。

实验操作的布线原则和故障检查。

布线原则:应便于检查、排除故障和更换器件。在数字电路实验中,有错误布线引起的故障,常占很大比例。布线错误不仅会引起电路故障,严重时甚至会损坏器件,因此,注意布线的合理性和科学性是十分必要的,正确的布线原则大致有以下几点:

1.接插集成电路芯片时,先校准两排引脚,使之与实验底板上的插孔对应,轻轻用力将芯片插上,然后在确定引脚与插孔完全吻合后,再稍用力将其插紧,以免集成电路的引脚弯曲,折断或者接触不良。

2.不允许将集成电路芯片方向插反,一般IC的方向是缺口(或标记)朝左,引脚序号从左下方的第一个引脚开始,按逆时钟方向依次递增至左上方的第一个引脚。

3.布线时,最好采用各种色线以区别不同用途,如电源线用红色,地线用黑色。

4.布线应有秩序地进行,随意乱接容易造成漏接错接,较好的方法是首先接好固定电平点,如电源线、地线、门电路闲置输入端、触发器异步置位复位端等,其次,在按信号源的顺序从输入到输出依次布线。

5.连线应避免过长,避免从集成器件上方跨接,避免过多的重叠交错,以利于布线、更换元器件以及故障检查和排除。

6.当实验电路的规模较大时,应注意集成元器件的合理布局,以便得到最佳布线,布线时,顺便对单个集成器件进行功能测试。这是一种良好的习惯,实际上这样做不会增加布线工作量。

7.应当指出,布线和调试工作是不能截然分开的,往往需要交替进行,对大型实验元器件很多的,可将总电路按其功能划分为若干相对独立的部分,逐个布线、调试(分调),然后将各部分连接起来(联调)。

故障检查:实验中,如果电路不能完成预定的逻辑功能时,就称电路有故障,产生故障的原因大致可以归纳以下四个方面:

1.操作不当(如布线错误等)

2.设计不当(如电路出现险象等)

3.元器件使用不当或功能不正常

4.仪器(主要指数字电路实验箱)和集成器件本身出现故障。

因此,上述四点应作为检查故障的主要线索,以下介绍几种常见的故障检查方法:

1.查线法。由于在实验中大部分故障都是由于布线错误引起的,因此,在故障发生时,复查电路连线为排除故障的有效方法。应着重注意:导线是否导通,有无漏线、错线,导线与插孔接触是否可靠,集成电路是否插牢、集成电路是否插反、是否完好等。

2.观察法。用万用表直接测量各集成块的V c c端是否加上电源电压;输入信号、时钟脉冲等是否加到实验电路上,观察输出端有无反应。重复测试观察故障现象,然后对某一故障状态,用万用表测试各输入/输出端的直流电平,从而判断出是否是插座板、集成块引脚连接线等原因造成的故障。

3.信号注入法。在电路的每一级输入端加上特定信号,观察该级输出响应,从而确定该级是否有故障,必要时可以切断周围连线,避免相互影响。

4.信号寻迹法。在电路的输入端加上特定信号,按照信号流向逐级检查是否有响应和是否正确,必要时可多次输入不同信号。

5.替换法。对于多输入端器件,如有多余端则可调换另一输入端试用。

必要时可更换器件,以检查器件功能不正常所引起的故障。

6.动态逐线跟踪检查法。对于时序电路,可输入时钟信号按信号流向依次检查各级波形,直到找出故障点为止。

7.断开反馈线检查法。对于含有反馈线的闭合电路,应该设法断开反馈线进行检查,或进行状态预置后再进行检查。

以上检查故障的方法,是指在仪器工作正常的前提下进行的,如果实验时电路功能测不出来,则应首先检查供电情况,若电源电压已加上,便可把有关输出端直接接到0—1显示器上检查,若逻辑开关无输出,或单次CP无输出,则是开关接触不好或是内部电路坏了,一般就是集成器件坏了。

需要强调指出,实验经验对于故障检查是大有帮助的,但只要充分预习,掌握基本理论和实验原理,就不难用逻辑思维的方法较好地判断和排除故障。

1.6实验要求

1.实验前的要求

(1) 认真阅读实验指导书,明确实验目的要求,理解实验原理,

熟悉实验电路及集成芯片,拟出实验方法和步骤,设计实验

表格。

(2) 完成实验指导书中有关预习的相关内容。

(3) 初步估算(或分析)实验结果(包括各项参数和波形),写出预习报告。

2.实验中的要求

(1)参加实验者要自觉遵守实验室规则。

(2) 严禁带电接线、拆线或改接线路。

(3) 根据实验内容,准备好实验所需的仪器设备和装置并安放适当。按

实验方案,选择合适的集成芯片,连接实验电路和测试电路。

(4) 要认真记录实验条件和所得各项数据,波形。发生小故障时,应独

立思考,耐心排除,并记下排除故障过程和方法。实验过程中不顺利,

并不是坏事,常常可以从分析故障中增强独立工作的能力。相反,实

验“一帆风顺”不一定收获大,能独立解决实验中所遇到的问题,把

实验做成功,收获才是最大的。

(5) 发生焦味、冒烟故障,应立即切断电源,保护现场,并报告指导老师

和实验室工作人员,等待处理。

(6) 实验前应检查实验仪器编号与座位号是否相同,仪器设备不

准随意搬动调换。非本次实验所用的仪器设备,未经老师允

许不得动用。若损坏仪器设备,必须立即报告老师,作书面

检查,责任事故要酌情赔偿。实验做完后,应让指导老师检

查签字,经老师同意后方可拆除线路,清理现场。

(7) 实验要严肃认真,要保持安静,整洁的实验环境。

3. 实验后的要求

实验后要求学生认真写好实验报告(含预习内容)

a)实验报告(含预习内容)的内容

(1)实验目的。

(2)实验设备与器件:列出实验使用的主要仪器设备的名称编号,集成芯

片的型号、规格、功能。

(3)实验原理:绘制实验电路图,简述实验原理。

(4)实验内容及步骤:扼要记录实验操作步骤,认真整理和处理测试的数

据,绘制测试的波形,并列出表格或用坐标纸画出曲线。

(5)对测试结果进行理论分析,作出简明扼要的结论。找出产生误差的原

因,提出减少实验误差的措施。

(6)记录产生故障情况,说明排除故障的过程和方法。

(7)写出本次实验的心得体会,以及改进实验的建议。

b)实验报告(含预习内容)的要求

文理通顺、书写简洁、符号标准、图表规范、讨论深入、结论简明。

数字电路试验指导书

第一篇数字电路实验指导书 实验一集成逻辑门的功能测试与数字箱的使用 一、实验目的 1、了解数字实验箱的原理,掌握其使用方法 2、掌握基本门电路逻辑功能的测试方法 3、了解TTL和CMOS器件的使用特点 二、实验一起及实验器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件: 74LS00 1片CD4001 1片 74LS86 1片CD4011 1片 三、实验任务 (一)数字实验箱的使用 1、用500型万用表分别测出固定直流稳压源的出去电压值 2、用500型万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观 察单次脉冲前后沿的变化 3、用示波器测出连续冲源的频率范围及幅度Vp-p值 4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试 1、分别写出74LS00,74LS86,CD4001,CD4011 1的逻辑表达式,列出其真表值,并分别 对其逻辑功能进行静态测试 2、用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。 四、预习要求 1、复习数字试验箱的组成和工作原理 2、复习TTl和CMOS电路的命名,分别及使用规则 3、认真查阅实验器件的功能表和管脚图 4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路 实验二集成逻辑门的参数测试 一、实验目的 1、熟悉集成逻辑门主要参数的意义 2、掌握集成逻辑门主要参数的测试方法 3、了解TTL器件和CMOS器件的使用特点 二、实验仪器与器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件:

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

基于LabVIEW的虚拟数字电路实验系统的设计说明

基于LabVIEW的虚拟数字电路实验系统的设计 摘要 当今社会在校学生人数急剧增加,传统的实验仪器已不能满足实验需要,学生的实际实验训练得不到满足,尤其是理工科学生,没有实验训练,抽象的理论知识很难理解。随着低成本高性能的计算机资源普及运用,数字化仪器平台逐渐取代传统电子仪器已成为一种趋势。如果把虚拟仪器运用到实验教学和科研中,不但可以节约大量仪器设备的需要,也提高了实验教学和科研的效率和质量。数字电路作为电子信息类各专业的主要技术基础课程之一,其实践实验环节尤为重要。用虚拟仪器技术构建数字电路实验系统,将广泛的应用到实验教学中。 关键词:虚拟仪器技术,数字电路,实验系统,LabVIEW

ABSTRACT In today's society, the number of students increased exponentially, The traditional experimental apparatus has been unable to meet the need of experiment, Students do not meet the actual experiment training, Especially for students of science and Engineering, No experimental training, Abstract theory is hard to understand.With low cost and high performance computer resources use, Digital instrument platform gradually replaced the traditional electronic instrument has become a trend. If the virtual instrument used in the experiment teaching and scientific research, Not only can save a large amount of equipment needs, but also improve the experimental teaching and the scientific research quality and efficiency. Digital circuit for electronic information majors mainly technical basic course of, The experimental practice link is particularly important. Using the virtual instrument technology to construct digital circuit experiment system, will be widely applied in the experimental teaching. Keywords: virtual instru ment technology , digital circuit , experiment System , LabVIEW

数字电路实验:基本逻辑门

数字电路实验:基本逻辑门 一、实验目的 研究TTL 门电路的性能及测试方法。 二、实验仪器 (1) 双线示波器 (2)数字万用表 (3) TES-1电子技术学习机 三、实验内容 实验10.1 TTL 与非门7400逻辑功能的测试 1. 将输出Y 接发光二极管(Y=1时二极管亮;否则灭),改变A 、B 的电平值,记录实验结果,并将该结果列成真值表形式。 2. 在A 端加入连续脉冲(频率f=1Hz ),将输出Y 接发光二极管。当B 端分别接+5伏和0伏时,观察Y 端的输出变化,验证逻辑“0”对与非门的封锁作用。 A B Y 图10.1 实验10.2 TTL 与非门7400传输延迟时间的测量 按图10.2接线,输入端接1MHz 连续脉冲,通过用示波器观察其输入、输出波形相位差的办法,测量出四个与非门的累计传输延迟时间。 实验10.3 TTL 与非门7400电压传输特性的测定 按图10.3接线。 U i 接直流稳压电源,调节U i 使之在0~5V 范围内变化(注意:U i 值不能≥6V ,否则将损坏芯片),测出U o 随U i 变化的值,将它们填入表10.1中,并用曲线表示之,试粗糙确定U T 值。 u i u o 图10.2 +5V Uo

实验10.4 TTL 与非门7400输入端特性测试 按图10.4接线。 改变B 端所接的电阻值,分别测量并纪录相应的电压U B 及U o ,将结果填入表10.2中。 四、总结要求 (1) 根据表21.1,画出与非门7400的电压传输曲线。 (2) 根据表21.2,总结与非门7400的输入端特性。 表10.1 表10.2 +5V Uo 图10.4

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

模拟和数字电路实验(I)教学大纲

《模拟和数字电路实验(I)》教学大纲 《模拟和数字电路实验I》中实验教学内容分低频电路和高频电路,根据教学要求,制定本大纲。本大纲适用电子科学与信息专业本科生,其它专业的相关课程可以参考本大纲。 《模拟和数字电路实验I》课程计划1.5个学分,48课时,低频电路实验部分计划36学时,高频电路实验部分计划12学时。实验内容在保留了传统的验证性实验的基础上,增设了计算机仿真实验,如EWB,PSPICE等操作软件的应用。 实验课程名称:模拟和数字电路实验I实验课程性质:专业基础 实验室名称:模拟电路实验室本大纲主撰人:钱晓英 实验课程编号: 适用专业:电子科学与信息专业 开设实验项目数:17个 一、实验教学目标与要求: 1.巩固和加深电子线路的基础理论和基本概念,学会灵活应用电子线路的技能。 2.熟悉常用电子元件和器件的性能,掌握基本测量方法和使用方法。 3.通过实验能正确且较熟练地掌握常用仪器如示波器、毫伏表、高、低频信号发生器、失真度仪、数字式频率计、晶体管特性图示仪……等基本工作原理和性能,掌握调节和使用方法。 4.掌握电子线路基本参数的测量原理和方法。 5.掌握电子线路安装、调整技术,培养分析、判断电路故障的能力和解决问题的方法。6.了解EDA知识,掌握用EWB、PSPISE等软件分析电路的方法。 二、考核办法: 1、实验成绩由两部分组成:平时分和考试分。 2、平时分占总分的70℅。平时分的考核标准为实验内容的完成情况,实验报告情况。 3、考试分占总分的30℅。考试形式可以是操作考试,也可以是笔试。 三、课程内容和课时分配

注:实验项目19个,54课时,打“*”为选做项目,可以根椐要求选择,完成48课时的教学任务。 二、实验教科书、参考书 (一)教科书 1、《TPE—A型系列模拟电路实验箱》使用说明书 2、《模拟电路实验指导书》清华大学科教仪器厂 (二)参考书 1、《模拟电子线路》华中师范大学物理系万嘉若林康运等编 2、《综合电子技术问答》汪源睿编著科学出版社 3、《电子技术基础》(模拟部分)华中工学院电子教研室康华光高等教育出版社 4、《模拟电子技术基础简明教程》清华大学电子学教研室编 5、《电子线路(非线性部分)》谢嘉奎宣月清编 2003年7月订

数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

THD-1型数字电路实验箱使用说明书

电子系列 THD-1型数字电路实验箱 使用说明书 浙江天煌科技实业有限公司

THD-1型数字电路实验箱使用说明书 THD-1型数字电路实验箱 使用说明书 THD-1型数字电路实验箱是根据我国“数字电子技术”教学大纲的要求,为了配合高等院校、职业技术学院、中等专业学校学生有关“数字电子技术”等教程而制作的新一代实验箱,它包含了全部数字电路的基本教学实验及有关课程的设计实验。 本实验箱主要是有一大块单面线路板制成,其正面印有清晰的图形线条、字符,使其功能一目了然。板上设有可靠的多管脚集成块插座及镀银长紫铜针管插座等几百个元器件,实验连接线采用高可靠、高性能的高档弹性插件;板上还装有信号源、三态逻辑笔、直流稳压电源以及控制、显示等部件,故本实验箱具有实验功能强、全、资源丰富,使用灵活,接线可靠,操作快捷,维护简单等优点。本实验箱所用的元器件均精心选购,属于优质产品,可放心让学生进行实验。 整个试验功能板放置并固定在体积为0.46m*0.36m*0.14m的高强度ABS工程塑料保护箱内,实验箱净重6kg,造型美观大方。 一、组成和使用 1.实验箱的供电 实验箱的后方设有带保险丝管(0.5A)的220V单相三芯电源插座(配有三芯插头电源线一根)。箱内设有一只降压变压器,供四路稳压电源用。

2. 一块大型(430mm*320mm)单面覆铜印制线路板;正面是印有清晰的各部件、元器件的图形、线条和字符;反面则是其相应的印刷线路板图。该板上包含着以下个部分内容: (1)带灯船形电源总开关一只 (2)高性能双列直插式圆角集成电路插座17只(其中40P 1只,28P 1只,24P 1只,20P1只,18P 1只,16P 5只,14P 4只,8P 2只). (3)400多个高可靠的锁紧式、防转、叠插式插座。它们与集成电路插座、镀银针管座以及其它固定器件、线路等已在印制板面连接好。正面板上有黑线条连接的地方,表示反面(及印制线路板面)已接好。 插件采用直插弹性结构,其插头与插座的导电接触面很大,接触电阻极其微小(接触电阻少于等于0.003欧,使用寿命大于10000次以上),插头与插头之间可以互插,从而可形成一个立体布线空间,使用极为方便。 (4)几十根镀银长(15mm)紫铜针管插座,供实验时接插小型电位器、电阻、电容等分立元件之用(它们与相应的锁紧插座已在印刷线路板面连通)。 (5)4组BCD码二进制七段译码器CD4511与相应的供阴LED 数码显示管(它们在印刷线路板面)已连接好。只要接通+5V直流电源,并在每一位译码器的四个输入端A、B、C、D处加入0000~1001之间的代码,数码管即显示出0~9的十进制数字。

数字实验测试方法操作规范故障检查方法

一、数字集成电路概述、特点及使用须知 (一)概述 当今,数字电子电路几乎已完全集成化了。因此,充分掌握和正确使用数字集成电路,用以构成数字逻辑系统,就成为数字电子技术的核心内容之一。 集成电路按集成度可分为小规模、中规模、大规模和超大规模等。小规模集成电路(SSI)是在一块硅片上制成约1~10个门,通常为逻辑单元电路,如逻辑门、触发器等。中规模集成电路(MSI)的集成度约为10~100门/片,通常是逻辑功能电路,如译码器、数据选择器、计数器、寄存器等。大规模集成电路(LSI)的集成度约为100门/片以上,超大规模(VLSI)约为1000门/片以上,通常是一个小的数字逻辑系统。现已制成规模更大的极大规模集成电路。 数字集成电路还可分为双极型电路和单极型电路两种。双极型电路中有代表性的是TTL电路;单极型电路中有代表性的是CMOS电路。国产TTL集成电路的标准系列为CT54/74系列或CT0000系列,其功能和外引线排列与国际54/74系列相同。国产CMOS集成电路主要为CC(CH)4000系列,其功能和外引线排列与国际CD4000系列相对应。高速CMOS系列中,74HC和74HCT系列与TTL74系列相对应,74HC4000系列与CC4000系列相对应。 部分数字集成电路的逻辑表达式、外引线排列图列于附录中。逻辑表达式或功能表描述了集成电路的功能以及输出与输入之间的逻辑关系。为了正确使用集成电路,应该对它们进行认真研究,深入理解,充分掌握。另外,还应对使能端的功能和连接方法给以充分的注意。 必须正确了解集成电路参数的意义和数值,并按规定使用。特别是必须严格遵守极限参数的限定,因为即使瞬间超出,也会使器件遭受损坏。 下面具体说明集成电路的特点和使用须知。 (二)TTL器件的特点 1.输入端一般有钳位二极管,减少了反射干扰的影响; 2.输出电阻低,增强了带容性负载的能力; 3.有较大的噪声容限; 4.采用+5V的电源供电。 为了正常发挥器件的功能,应使器件在推荐的条件下工作,对74LS系列(CT0000系列)器件,主要有:(1)电源电压应~的范围内。(2)环境温度在 00C~700C之间。(3)高电平输入电压V IH >2V,低电平输入电压V SL <。74系列 TTL 集成电路输出低电平≤,输出高电平≥。 (4)输出电流应小于最大推荐值(查手册)。 (5)工作频率不能高,一般的门和触发器的最高工作频率约30MHZ左右。 TTL器件使用须知: 1.电源电压应严格保持在5V±10%的范围内,过高易损坏器件,过低则不能正常工作,实验中一般采用稳定性好、内阻小的直流稳压电源。使用时,应特别注意电源与地线不能错接,否则会因过大电流而造成器件损坏。 2.多余输入端最好不要悬空,虽然悬空相当于高电平,并不能影响与门(与非门)的逻辑功能,但悬空时易受干扰,为此,与门、与非门多余输入端可通过一个公用电阻(几千欧)连到V cc 上, 或直接接到逻辑电平开关上设置为高电平值。若前级驱动能力强,则可将多余输入端与使用端并接;不用的或门、或非门输入端直接接地,与或非门不用的与门输入端至少有一个要直接接地,带有扩展端的门电路,其扩展端不允许直接接电源。

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

相关文档
最新文档