数电课设

数电课设
数电课设

电子技术课程设计报告
设计题目: 院 系: 专业班级: 指导教师: 学生姓名:
篮球 30 秒倒计时 电气工程与自动化学院
电气 1707 班 唐恒娟 王俊豪 张喜超 马万彪
评定成绩 :____________
批改评语: 评


果 指导教师(签名):
年月日

目录
摘要................................................................ 1 1 绪论..............................................................2
1.1 课题简介 ............................................... 2 1.2 课程设计的内容和要求 .....................................2 2 设计方案..........................................................2 2.1 电路组成................................................2 2.2 设计思路 .................................................3 2.3 设计方案 .................................................3 3 电路各个模块的设计................................................5 3.1 标准秒脉冲发生电路 .......................................5 3.1.1 NE555 集成电路的框图及工作原理 ........................5 3.1.2 NE555 构成多谐振振荡器及其所需器件的参数计算 ...........7 3.2 计数、置数电路...........................................7 3.2.1 74LS192 的工作原理 .....................................7 3.2.2 计数器单元电路.........................................8 3.3 译码驱动、显示电路.......................................8 3.4 控制电路.................................................9 3.4.1 置数电路 ..............................................10 3.4.2 清零电路 ..............................................10 3.4.3 报警电路 ..............................................11 4 电路的仿真与调试 .................................................11 4.1 用 Multisim 进行仿真设计................................11 5 实物的调试 .......................................................12 5.1 硬件实物元件清单........................................12 5.2 调试过程及测试结果分析 ..................................13 5.2.1 控制电路检查 ..........................................14 5.2.2 显示电路检查 ..........................................14 5.2.3 计时电路检查..........................................14 5.2.4 555 脉冲发生电路检查 ..................................14 5.2.5 报警电路检查 ..........................................15 5.3 改良后实物效果图........................................15 总结............................................................... 16 致谢............................................................... 16 参考文献........................................................... 17 附录............................................................... 18

河南理工大学电气工程与自动化学院--电子技术课程设计报告
摘要
篮球是一项充满乐趣的运动,打篮球可以学到很多课本里没有的东西,比如 信任,合作,鼓励等,现在篮球普及率已经很高,不仅仅是专业运动员的运动, 也是普通老百姓的活动,不管是走在学校里,还是公园里,甚至是乡村里,都能 看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可或缺的 组成部分,每天都能听到有人在谈论篮球,说说自己喜欢的 NBA 巨星……因为喜 欢篮球,所以打篮球,时不时会来场激烈的比赛,篮球计分器将让我们摆脱用粉 笔或记分牌计分带来的不便,轻轻松松几个按钮开关就能让我们记下分数,让我 们感受到科技给我们带来的巨变。
本设计是以数字电路为主的 30 秒篮球比赛计时器电路。电路中包括秒脉冲 发生电路、计数电路、译码与显示电路、光电报警电路和控制电路(辅助时序控 制电路)等五个部分组成。
电路中,由 NE555 及其外围元件组成 秒脉冲发生电路所产生的秒脉冲信号输入到 74LS192 的时钟信号输入端,使 74LS192 开始计数,再由 74LS47 进行译码驱动 LED 数码管将时间显示出来。由 74LS00、74LS04、74LS10 和三只开关实现置数、清零、开始/暂停功能。
关键词:篮球计时; NE555; 74LS192; 74LS47;
1

河南理工大学电气工程与自动化学院--电子技术课程设计报告
1 绪论
1.1 课题简介
本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛 30 秒计时器。此 计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同 时应用了七段数码管来显示时间。此计时器有了启动、 暂停和连续功能, 可以 方便地实现断点计时功能, 当计时器递减到零时, 会发出光电报警信号。 本 设计完成的中途计时功能, 实现了在许多的特定场合进行时间追踪的功能, 在 社会生活中也具有广泛的应用价值。
此计时器的设计采用模块化结构, 主要由以下 3 个模块组成,即计时模块、 控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使 设计起来更加简单、方便、快捷。此电路是以时钟产生,触发,倒计时计数,译 码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。
1.2 课程设计的内容和要求
篮球竞赛 30S 计时器设计基本要求: 1)具有显示 30S 计时功能 2)设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能 3)在直接清零时,要求数码显示器灭灯 4)计时器为 30S 递减计时,计时间隔为 1S 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号
2 设计方案
2.1 电路组成
30 秒计时器的总体参考方案框图如图 2-1 所示。它包括秒脉冲发生器、计 数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模 块组成。其中计数器和控制电路是系统的主要模块。 计数器完成 30 秒计时功 能, 而控制电路完成计数器的直接清零、启动计数、暂停 / 连续计数、译码显 示电路 的显示与灭灯、定时时间到报警等功能。
2

河南理工大学电气工程与自动化学院--电子技术课程设计报告
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准, 但本设计对此信 号 要求并不太高,故电路可采用 555 集成电路或由 TTL 与非门组成的多谐振 荡器构成。
译码显示电路由 74LS48 和共阴极七段 LED 显示器组成。 报警电路在实验中可用发光二极管代替。
2.2 设计思路
定时电路主要由振荡器和计数器组成。该电路设计思路如下: (1)设计一个秒脉冲发生器, 这里采用 555 定时器来产生这个计时脉冲。 通过 555 定时器外接电阻,将其脉冲宽度调整为 1 秒 (2)设计 30 秒递减计时电路,由秒脉冲发生器控制其计数,每隔 1 秒钟, 计数 器减 1。 (3)设计译码显示电路,显示器能显示计数器的即时计数数值。 (4)设计报警电路,当计数器递减计时到零时(即定时时间到,显示器上 显 示 00),发出报警信号。 (5)设计外部操作开关控制计时器的直接清零、启动和暂停 / 连续计时。
2.3 设计方案
图 2.1 系统组成
分析设计任务,计数器和控制电路是系统的主要部分。计数器完成 30s 计 时 功能,而控制电路具有直接控制计数器的启动计数、暂停/连续计数、 译码显 示电路的显示和灭灯功能。
为了满足系统的设计要求,在设计控制电路时, 应正确处理各个信号之间
3

河南理工大学电气工程与自动化学院--电子技术课程设计报告
的时序关系。在操作直接清零开关时, 要求计数器清零, 数码显示器灭灯。 当
启动开关闭合时,控制电路应封锁时钟信号 CP,同时计数器完成置数功能,译
码显示电路显示“ 30”字样;当启动开关断开时,计数器开始计数;当暂停/
连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停/连续开
关拨在连续时,计数器继续递减计数。0 秒篮球比赛计时器电路由秒脉冲发生电
路、计数电路、译码与显示电路、光电报警电路和控制电路(辅助时序控制电路)
等五个部分组成。
电路中,二输入与非门 74LS00、非门 74LS04、三输入与非门 74LS10 主要用
于计时的开始/暂停和光电报警控制。当拨动开关 K3 将 IC5A 的 1 将接地时,由
于 74LS00 只要有一个输入端为低电平时输出为高电平的特性,使得其输出端 3
脚输出高电平加在 IC5B 其中一个输入端 4 脚上,而 IC5B 的另一个输入端 5 脚则
通过电阻 R6 被置为高电平,使 IC5B 的两个输入端都为高电平,所以其输出端输
出低电平。
这个低电平信号同时被加在 74LS10 的输入端 1 脚,而 74LS10 的其它两个输


入端中,13 脚被接在 IC3 的TCD 上,由于 74LS192 的TCD 端平时都处在高电平状
态,所以 74LS10 的 13 脚也被置为高电平。由 NE555 及其外围元件组成的秒脉冲
发生电路所产生的秒脉冲信号则被加在 74LS10 的最后一个输入端 2 脚上。而
74LS10 的的特性是只要有一个输入端始终为低电平,其输出端只能为高电平,
这个高电平再经过 74LS04 反向变为低电平加在 IC4 时钟信号输入端,使其一直
为低电平状态,停止计数。
当开关 K3 拨动到另一边时,IC5B 的 5 脚被置为低电平,其输出端为高电平,
使 74LS10 的两个输入端都为高电平,而最后一个输入端由于接入了时钟信号,
始终在高低电平变换,所以其输出端也是高低电平变换状态,在经过 74LS04 反
向驱动 74LS192 计数。
IC3 和 IC4 为两只十进制同步加/减计数器 74LS192。当 74LS192 的数据输入

端 P0~P3 以二进制方式置数时,其PL需要被置为低电平才能将输出端 Q0~Q3

预置成和输入端一致。而PL在高电平时 74LS192 才能开始计数,所以使用一只开


关 K1 控制PL端进行置数或者计数。当开关 K1 断开时,IC3 和 IC4 的PL通过电阻

获得高电平,使 74LS192 开始倒计数,当 IC4 倒计数下溢出时,其错位输出TCD
输出一个低电平脉冲加在 IC3 的时钟输入端 CPD 上,当 IC4 下溢出结束后,其错
位输出端恢复高电平,IC3 的时钟输入端也恢复高电平,使得 IC3 完成一次计数。
4

河南理工大学电气工程与自动化学院--电子技术课程设计报告

当倒计数为“00”时,IC3 和 IC4 同时下溢出,它们的TCD 端同时输出低电平脉 冲,将 IC4 的时钟输入端置为低电平,并停止计数,同时点亮 LED。
开关 K2 的作用是清零(清屏),拨动开关 K2,将 IC3 和 IC4 的 MR 置为高电 平时,将会强制清屏,使数码管显示“00”,同时点亮 LED。再将 K2 拨到另一端 时,取消清屏,这时可以拨动 K1 进行置数。
译码驱动、显示由 74LS47 和两只共阴型 LED 数码管组成。由 IC3 和 IC4 的 输出端 Q0~Q1 输出的计数信号,经 IC1 和 IC2 译码后,驱动数码管显示出对应 的数字。
3 电路各个模块的设计 3.1 标准秒脉冲发生电路
3.1.1 NE555 集成电路的框图及工作原理
555 集成电路开始是作定时器应用的,所以叫做 555 定时器或 555 时基电路。 但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速 等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电 路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、使 用方便、价格低廉,目前被广泛用于各种电子产品中,555 集成电路内部有几十 个元器件,有分压器、比较器、基本 R-S 触发器、放电管以及缓冲器等,电路比 较复杂,是模拟电路和数字电路的混合体。
图 3.1 NE555 内部结构
5

河南理工大学电气工程与自动化学院--电子技术课程设计报告
由上图可知 555 定时器由 3 个阻值为 5kΩ 的电阻组成的分压器、两个电压 比较器 C1 和 C2、基本 RS 触发器、放电三极管 TD 和缓冲反相器 G4 组成。虚线 边沿标注的数字为管脚号。其中,1 脚为接地端;2 脚为低电平触发端,由此输 入低电平触发脉冲;6 脚为高电平触发端,由此输入高电平触发脉冲;4 脚为复 位端,输入负脉冲(或使其电压低于 0.7V)可使 555 定时器直接复位;5 脚为电 压控制端,在此端外加电压可以改变比较器的参考电压,不用时,经 0.01uF 的 电容接地,以防止引入干扰;7 脚为放电端,555 定时器输出低电平时,放电晶 体管 TD 导通,外接电容元件通过 TD 放电;3 脚为输出端,输出高电压约低于电 源电压 1V—3V,输出电流可达 200mA,因此可直接驱动继电器、发光二极管、指 示灯等;8 脚为电源端,可在 5V—18V 范围内使用。 555 定时器工作时过程分析如下:
5 脚经 0.01uF 电容接地,比较器 C1 和 C2 的比较电压为:UR1=2/3VCC、 UR2=1/3VCC。
当 VI1>2/3VCC,VI2>1/3VCC 时,比较器 C1 输出低电平,比较器 C2 输出 高电平,基本 RS 触发器置 0,G3 输出高电平,放电三极管 TD 导通,定时器输出 低电平。
当 VI1<2/3VCC,VI2>1/3VCC 时,比较器 C1 输出高电平,比较器 C2 输出 高电平,基本 RS 触发器保持原状态不变,555 定时器输出状态保持不来。
当 VI1>2/3VCC,VI2<1/3VCC 时,比较器 C1 输出低电平,比较器 C2 输出 低电平,基本 RS 触发器两端都被置 1,G3 输出低电平,放电三极管 TD 截止,定 时器输出高电平。
当 VI1<2/3VCC,VI2<1/3VCC 时,比较器 C1 输出高电平,比较器 C2 输出 低电平,基本 RS 触发器置 1,G3 输出低电平,放电三极管 TD 截止,定时器输出 高电平。
555 集成电路有双极型和 CMOS 型两种。CMOS 型的优点是功耗低、电源电压 低、输入阻抗高,但输出功率较小,输出驱动电流只有几毫安。双极型的优点是 输出功率大,驱动电流达 200 毫安,其他指标则不如 CMOS 型的。
6

河南理工大学电气工程与自动化学院--电子技术课程设计报告
3.1.2 NE555 构成多谐振振荡器及其所需器件的参数计算
时钟脉冲发生电路由 NE555、R1、R2、C1、C2 组成一个多谐振荡器产生 1Hz 的标准脉冲信号,提供给 IC3、IC4 使用。
图 3.2 时钟振荡电路
振荡器振荡频率计算公式
通过查阅相关资料及计算可得定时元件 R1 为 15 KQ,R2 为 68KQ,c 为 10uF
3.2 计数、置数电路
3.2.1 74LS192 的工作原理
74LS192 为可预置的十进制同步加/减计数器,192 的清除端是异步的。当清
除端(MR)为高电平时,不管时钟端(CPD、CPU)状态如何,即可完成清除功能。
表 3.1 74LS192 功能表
CPu CPd LD CR
操作
00
置数
1
10
加计数
1 0 减计数
7

河南理工大学电气工程与自动化学院--电子技术课程设计报告
1
清零
74LSl92 的工作原理是:当 =1,
时,若时钟脉冲加入到 CPu 端,
且 CPd=1 则计数器在预置数的基础上完成加计数功能,当加计数到 9 时, 端
发出进位下跳变脉冲;若时钟脉冲加入到 CPu 端,且 CPd=1,则计数器在预置数
基础上完成减计数功能,当计数减到 O 时, 端发出借位小跳变脉冲,由
3.2.2 计数器单元电路
74LSl92 构成的三十进制递减计数器如图 3.2 所示:
图 3.3 计数、置数电路
它的计数原理是:只有当低位 端发出借位脉冲时,高位计数器才作减
计数。当高、低位计数器处于全零,且 CPd 为 0 时,置数
=0,计数器完成
并行置数,在 CPd 端的输入时钟脉冲作用下,计数器再次进入下一循环减计数。
该电路图上的两个 74192 的 QA、QB、Qc、QD 端分别接译码器 7447 的 ABCD
端作为译码输入,计数器预置数为 30,但计数器减为 0 时,高位的计数器 B0 7
端输出报警信号报警电路报警。

当开关 K1 断开时,IC3 和 IC4 的PL通过电阻获得高电平,使 74LS192 开始

倒计数,当 IC4 倒计数下溢出时,其错位输出TCD 输出一个低电平脉冲加在 IC3
的时钟输入端 CPD 上,当 IC4 下溢出结束后,其错位输出端恢复高电平,IC3 的
时钟输入端也恢复高电平,使得 IC3 完成一次计数。当倒计数为“00”时,IC3

和 IC4 同时下溢出,它们的TCD 端同时输出低电平脉冲,将 IC4 的时钟输入端置
为低电平,并停止计数,同时点亮 LED。
3.3 译码驱动、显示电路
LED 数码显示器是一种有 LED 发光二极管组合显示字符的显示器件。它使
8

河南理工大学电气工程与自动化学院--电子技术课程设计报告
用了 8 个 LED 发光二极管,其中 7 个用于显示字符,剩下的一个用于显示小 数点,故通常称之为 7 段发光二极管数码器。在数码管中,若将二极管的阳极 连在一起,称为共阳极数码管;若将二极管的阴极连在一起,称为共阴极数码管。 如图 2.14 所示。
图 3.4 (a)为 a 到 g 在数码管的编排 (b)分别为共阴极、共阳极电路
当发光二极管导通时,它就会发光。每个二极管就是一个笔画,若干个二极 管发光时,就构成了一个显示字符。
译码驱动、显示由 74LS47 和两只共阳型 LED 数码管组成。由 IC3 和 IC4 的 输出端 Q0~Q1 输出的计数信号,经 IC1 和 IC2 译码后,驱动数码管显示出对应 的数字。
3.4 控制电路
图 3.5 译码驱动、显示电路
在设计控制电路时,应正确处理各个信号之间的时序关系。从系统的设计要 求可知控制电路要完成以 下四项功能:一是操作“直接清零”开关时,要求计 数
9

河南理工大学电气工程与自动化学院--电子技术课程设计报告
器清零;二是闭合“启动”开关时,计数器应完成置数功能,显示器显示 30 秒 字样,断开“启动”开关 时,计数器开始进行递减计数;三是当“暂停/连续” 开关处于“暂停”位置时,控制电路封锁时钟脉冲信 号 CP,计数器暂停计数, 显示器上保持原来的数不 变,当“暂停/连续”开关处于“连续”位置时,计 数器继续累计计数;四是当计数器递减计数到零(即定时时间到)时,控制电路发 出报警信号,使计数器保持零状态不变,同时报警电路工作。报警电路可由发光 二级管实现。
3.4.1 置数电路
置数电路的实现当开关 K1 闭合,电位 0,送至 74192 的 LOAD 端,计数器置 数;当开关 A 断开,触发器置 l,送至 74192 的 LOAD 端,计数器开始进行计数。
2.暂停电路 暂停辅助电路的实现由两个与非门组成基本 RS 触发器,当拨动开关 K3 将 IC5A 的 1 将接地时,由于 74LS00 只要有一个输入端为低电平时输出为高电平的 特性,使得其输出端 3 脚输出高电平加在 IC5B 其中一个输入端 4 脚上,而 IC5B 的另一个输入端 5 脚则通过电阻 R6 被置为高电平,使 IC5B 的两个输入端都为高 电平,所以其输出端输出低电平。这个低电平信号同时被加在 74LS10 的输入端

1 脚,而 74LS10 的其它两个输入端中,13 脚被接在 IC3 的TCD 上,由于 74LS192

的TCD 端平时都处在高电平状态,所以 74LS10 的 13 脚也被置为高电平。由 NE555 及其外围元件组成的秒脉冲发生电路所产生的秒脉冲信号则被加在 74LS10 的最 后一个输入端 2 脚上。而 74LS10 的特性是只要有一个输入端始终为低电平,其 输出端只能为高电平,这个高电平再经过 74LS04 反向变为低电平加在 IC4 时钟 信号输入端,使其一直为低电平状态,停止计数。
当开关 K3 拨动到另一边时,IC5B 的 5 脚被置为低电平,其输出端为高电平, 使 74LS10 的两个输入端都为高电平,而最后一个输入端由于接入了时钟信号, 始终在高低电平变换,所以其输出端也是高低电平变换状态,在经过 74LS04 反 向驱动 74LS192 计数。
3.4.2 清零电路
当开关 k2 打到清零端,接高电平输出至 74192 的 CLR 端,使计数器清 零: 当开关 C 打到工作端,接地输出低电平至 74192 的 CLR 端,使计数器工作。
10

河南理工大学电气工程与自动化学院--电子技术课程设计报告
3.4.3 报警电路
图 3.6 控制电路
当计数到零时,两计数器借位端输出为低电平,故本设计将高位片借位 BO 反馈到二极管负极性端,此时电源经 1k 电阻使发光二极管发出光电报警信号, 完成报警功能,而在递减计数时,BO 端输出为高电平, 二极管不报警。
4 电路的仿真与调试
4.1 用 Multisim 进行仿真设计
Multisim 是美国国家仪器( NI)有限公司推出的以 Windows 为基础的仿 真工具,适用于板级的模拟 /数字电路板的设计工作。 Multisim 中提供了丰富 的硬件数据可供选择,它包含了电路原理图的图形输入、 电路硬件描述语言输 入方式, 具有丰富的仿真分析能力。 通过 Multisim 可以及时仿真实现电路设 计功能并及时发现存在的问题进行改正, 可以确保设计的电路能够正常实现应 有的功能。
通过 Multisim 仿真,可以更直观的看到效果,有助于对电路检查错误。
11

河南理工大学电气工程与自动化学院--电子技术课程设计报告
VCC 5.0V
VCC 5.0V
CA
U1
AB CDE FGH
R3 100Ω
CA
U2
AB CDE FGH
R1 15Rk2Ω 39kΩ
8
U10
VCC
4 RST
OUT
7 DIS
6 THR
2 TRI
5 CON
C2 C1
GND
1μF 0.01μF 1
3
LM555CM
13 12 11 10 9 15 14
13 12 11 10 9 15 14
OA OB OC OD OE OF OG
OA OB OC OD OE OF OG
7 1 2 6 3 5 4
LED1
A B C D ~LT ~ RB I
~ BI /R BO
U3 74LS47D
VCC
A B C D ~LT ~ RB I
~ BI /R BO
U4 74LS47D
VCC
7 1 2 6 3 5 4
U7A
1 3
2
74LS00D
13 12
3 2 6 7
~BO ~CO
QA QB QC QD
UP DOWN
~ LO AD C LR
5.0V
U5 74LS192D
15 1 10 9
A B C D
QA QB QC QD
3 2 6 7
11 14
~ LO AD C LR
~BO ~CO
13 12
5 4
UP DOWN
5.0V
U6 74LS192D 74LS04D
U9A
2 1Y 1A 1
VCC 5.0V
U8A
13
12
2
1
74LS10D
U7B
4 6
5
74LS00D
A B C D
11 14
15 1 10 9
5 4
VCC 5.0V
VCC 5.0V
R4 10kΩ
R5 10kΩ
R6 R7 10kΩ10kΩ
S1 键 =A
S2
S3
键 = 空格
键 = 空格
图 4. 1 仿真图
5 实物的调试
5.1 硬件实物元件清单
表 5.1 元件清单 器件名称 74ls192
74ls47 74ls00 74ls04 74ls10 Ne555 单位共阳数码管 一刀两掷开关 电解电容 10uF 瓷片电容 103 电阻 150Ω
器件数量 2 2 1 1 1 1 5 3 1 1 1
12

河南理工大学电气工程与自动化学院--电子技术课程设计报告
电阻 11K
1
电阻 10K
4
电阻 15K
1
电阻 68K
1
LED 发光二极管
1
在这两周的课程设计中,通过查找资料、比较各种方案、讨论,最终确立了
比较简单又比较完善的方案,并用仿真软件仿真出了结果。领取组件后,我负责
电路板上元器件的排版和我和部分模块电路的焊接,我同组人主要负责电路检查
和其他部分电路的焊接。
通电后,稳压电源的 5 伏电压会突变到 2 伏左右,而且电路板上 VCC 和 GND
两条总线间连电压都没有,但各芯片又处于正常通电状态,由于电线太多,而且
出现的问题经调试后也无法减少,所以我们决定重新焊接。这一次,我们采取“各
个击破”的方式进行电路板的焊接。不过在单元电路的完成过程中也出现了一些
问题,在显示电路的部分中,我们选用的是七段共阴数码管,它的公共端 3 脚和
8 脚本应都接低电平的,而我们在焊接时却不小心将 8 脚接到了 VCC 总线上,导
致数码管不能正常显示,这让我们明白在焊接过程中要绝对细心,头脑要清楚,
在焊接时要数清芯片的管脚,并正确接到别的芯片上对应的管脚上。还有在计数
电路中,计数时丢失了有 4 和 7 的数,经过分析了解到,我们肯定有虚焊点的存
在,通过用万用表检测问题计数器各输出端的电平,发现果然是虚焊问题导致的
结果,低位计数器的 Qc 端一直没有电压,经过再次焊接后,计数终于恢复了正
常。
基本电路完成后,我们开始灭等电路的焊接和各个暂停开关的焊接。这两部
分相对比较简单。但这里偏偏也有麻烦。刚开始,我们将暂停开关放在计数器信
号输入与 555 信号输出之间,但接上电源后,数码显示异常,而将计数信号输入
与信号发生器相连,电路又运行正常。这个现象让我们把问题锁定在开关上,后
来通过询问其他同学,了解到 555 产生的脉冲信号不稳定,而我们选用的开关是
一个拨动开关,当拨动时会有较大的振动,会影响信号的传送。所以后来我们就
换了开关的位置,放到电阻 R1 那,避免和 555 信号输出端连接。改良后,电路
可以正常进行工作。
5.2 调试过程及测试结果分析
在进行过基本的检查以后,我们开始对电路板进行调试,利用万用表或者示
13

河南理工大学电气工程与自动化学院--电子技术课程设计报告
波器对电路进行调试。调试时,我们是分模块分别进行调试以后,在进行总调。
5.2.1 控制电路检查
在电路全部焊接完后,改变各开关的闭、合状态,电路的启动、连续、暂停 清零等功能均得以实现,电路控制部分没有问题。
5.2.2 显示电路检查
当将显示电路中的两个数码管接好后,接入直流电源,发现数码管不亮,说 明显示电路连接不正确。
解决方法: 1)接入电源,用万用表检查电路是否焊接好且没有虚焊,并把有问题的部 分重新焊接好; 2)进一步检查电源与地的接入是否接反; 3)若上述两种情况都未出现则对照各芯片的管脚图,检查在焊接过程中各 管脚的连接是否接错;
5.2.3 计时电路检查
将本课设中所选计数器 74LS192 与显示电路相连,接入直流电源,用信号发 生器给计数器一个 1s 的脉冲信号,观察计数器的计数功能,发现数码显示是在 从 30 递减至 0 的过程中未出现有 4 和 7 的数,上述情况表明计数电路接触良好, 但置数出现问题。
解决方法: (1)检查两片 74LS192 的数据输出端所连的置数电路,看是否有连线错误; (2)选择被用作低位显示的那片 74LS192 作为检测对象,在数码显示的整 个过程中用万用表测量 Qc 对应的 6 管脚的电压。看是否有时会有高电平。
5.2.4 555 脉冲发生电路检查
我们将 555 的输出端 3 接到示波器上观察到的波形为一脉冲波,其频率近似 为一秒。基本符合课程设计中所需秒信号的要求。
14

河南理工大学电气工程与自动化学院--电子技术课程设计报告
5.2.5 报警电路检查
将秒信号接入计数电路,按下启动电路,观察计数为 0 时发光二极管的像工 作情况,发现当数码显示为 00 时,发光二极管发光,说明报警电路光电报警功 能已经实现。
5.3 改良后实物效果图
将置位开关闭合,数码管显示 30。
图 5.1 置位
然后将置位开关断开,开始倒计时,在此过程中,可以随时暂停/继续,按 复位按钮直接清零。
图 5.2 倒计时暂停
图 5.3 清零 15

河南理工大学电气工程与自动化学院--电子技术课程设计报告
当计时到 00 时,二极管发光,发出报警信号。
图 5.4 倒计时结束,发出报警信号
最后做整体电路的检测,各个模块的工作都很正常,外部操作开关也都可以 实现要求中的启动、暂停、清零、连续功能。基本完成了此次课程设计的任务。
总结
课程设计是我们专业课程知识综合应用的实践训练,在设计的过程中,我综 合运用了本专业所学课程的理论和生产实际知识,进行了一次篮球三十秒倒计时 计数器的设计。工作的实际训练培养和提高了我独立工作的能力,巩固与扩充了 数字电路等课程所学的内容,掌握倒计时计数器设计的方法和步骤,懂得了怎样 分析零件的工艺性,怎样确定工艺方案,了解了模具的基本结构,提高了计算能 力,绘图能力,熟悉了规范和标准,同时各科相关的课程都有了全面的复习,独 立思考的能力也有了提高。在这次设计过程中,体现出自己单独设计模具的能力 以及综合运用知识的能力,体会了学以致用、突出自己劳动成果的喜悦心情, 从 中发现自己平时学习的不足和薄弱环节,从而加以弥补。在我们的共同努力下, 只经过短短的 2 天我们就将基本电路焊完成。但由于没有经验,加上焊接电路板 的时候没有对单元电路进行检验,导致问题太多,数码管根本没有显示。
致谢
在此感谢我们的唐老师,老师严谨细致、一丝不苟的作风将会是我工作、学 习中的榜样;老师循循善诱的教导和不拘一格的思路给予我无尽的启迪;这次 模 具设计的每个实验细节和每个数据,都离不开老师您的细心指导。而您严谨的个 性和宽容的态度,帮助我能够很顺利的完成了这次课程设计。同时感谢帮助过我 的同学们,谢谢你们对我的帮助和支持,让我感受到同学的友谊。由于我的设计能
16

河南理工大学电气工程与自动化学院--电子技术课程设计报告
力有限,在设计过程中难免出现错误,恳请老师多多指教,我十分乐意接受您的批 评与指正,学生将万分感谢。
参考文献
[1]韩克,柳秀山.电子技能与 EDA 技术.暨南大学出版社 [2]张大彪. 电子技能与实训.北京电子工业出版社 [3]社夏路易,石宗义. 电路原理图与电路板设 Protel·北京希望电子出版 [4]杨元挺. 电子技术技能训练.电子工业出版社 [5]梁廷贵. 现代集成电路实用手册.科学技术文献出版社编著 [6]李萧,郭明琼. 常用数字集成电路原理与应用.人民邮电出版社 [7]余孟尝. 数字电子技术基础简明教程.高等教育出版社 [8]李中发 . 数字电子技术基础.中国水利水电出版社 [9]曹国清 . 数字电路与逻辑设计.中国矿业大学出版社 [10]杨绪东,刘景行. 实用电子电路精选.北京.化学工业出版社 [11]赵世强,许杰,荆炳礼等.电子电路 EDA 技术[M].西 安:西安电子科技大
学,2002. [12]陈大钦等.电子技术基础实验[M].北京:高等教育出版社,2000. [13]康华光,陈大钦等.电子技术基础[M].北京:高等教育出版社,1999. [14]杨志忠等.数字电子技术[M].北京:高等教育出版社,2000. [15]艾永乐,付子义. 数字电子计数基础 2008 [北京] 中国电力出版社; [16]邱关源,罗先觉.电路第五版 2011 [北京] 高等教育出版社; [17]百度文库.《Multisim 原件介绍》;
17

河南理工大学电气工程与自动化学院--电子技术课程设计报告
附录
附录一 电路原理图
附录二:仿真电路
VCC 5.0V
VCC 5.0V
CA
U1
AB CDE FGH
R3 100Ω
CA
U2
AB CDE FGH
R1 15Rk2Ω 39kΩ
8
U10
VCC
4 RST
OUT
7 DIS
6 THR
2 TRI
5 CON
C2 C1
GND
1μF 0.01μF 1
3
LM555CM
13 12 11 10 9 15 14
13 12 11 10 9 15 14
OA OB OC OD OE OF OG
OA OB OC OD OE OF OG
7 1 2 6 3 5 4
LED1
A B C D ~LT ~ RB I
~ BI /R BO
U3 74LS47D
VCC
A B C D ~LT ~ RB I
~ BI /R BO
U4 74LS47D
VCC
7 1 2 6 3 5 4
U7A
1 3
2
74LS00D
13 12
3 2 6 7
~BO ~CO
QA QB QC QD
UP DOWN
~ LO AD C LR
5.0V
U5 74LS192D
15 1 10 9
A B C D
QA QB QC QD
3 2 6 7
11 14
~ LO AD C LR
~BO ~CO
13 12
5 4
UP DOWN
5.0V
U6 74LS192D 74LS04D
U9A
2 1Y 1A 1
VCC 5.0V
U8A
13
12
2
1
74LS10D
U7B
4 6
5
74LS00D
A B C D
11 14
15 1 10 9
5 4
VCC 5.0V
VCC 5.0V
R4 10kΩ
R5 10kΩ
R6 R7 10kΩ10kΩ
S1 键 =A
S2
S3
键 = 空格
键 = 空格
18

数字电子技术课程设计

实验十六简易脉宽测量电路 脉宽测量设计用来测量一个PWM波的高电平持续时间进而可以实现信号的占空比测量。一.设计要求 用常用数字电路IC设计一个脉宽测量,主要技术指标如下: 1)能显示三位计数值,时间单位为毫秒。 1)被测量脉冲的频率范围1H Z~100H Z,10KH Z的时间基准信号由信号发生器提供。 2)能测量信号的高低电平宽度,实现占空比测量。 3)写出设计过程,画出逻辑图。 二.要求完成的任务 1)利用软件(如modelsin)进行设计输入,设计仿真,使其具备设计要求的逻辑功能。 2)根据前期的设计搭建数字电路,调试系统。 3)画出完整的电路图,写出设计总结报告 4)基准频率由晶振电路分频产生,存储前后两次的测量数据,实现占空比测量。三.工作原理及设计思路 10KH Z的矩形脉冲信号由信号发生器提供,它和分频器组成一个时间标准信号电路,用以产生1ms的计时时间。通过计数器,译码器和显示器显示出被测脉冲的宽度。 被测量信号Fx为周期性矩形脉冲。在测量控制信号启动后,控制器使控制门只能让被测信号Fx的第一个正脉冲通过,从而测出脉冲宽度Tw的时间,因此,控制器应由触发器和门电路组成。 四.设计框图 总体框图如下: 五.参考原理图

以下是实现的一种参考方案: 六. 电路原理 其中被测信号Fx 为100H Z ~1KH Z 信号源,我们的主控门为U4,我们的标准信号源频率为1KH Z ~10KH Z 信号源,U3输出为我们要测量的信号高电平持续时间。即当U3输出为1时,我们对标准信号源进行记数。 我们的控制门为U2和U3,U3输出被测量信号的一个高电平脉宽, U2A 和U2B 分别为被测信号源上升沿触发(置1)和下降沿触发(置0)。 U1A 的1Q 输出为预置信号,为0时有效,开始启动时,K 置0,使U2A 置0,U2B 置1。然后,K 置1,计数开始。这时如果被测信号上升沿到来,U2A 置1开始打开主控制门开始计数,紧接着的下降沿使U2B 置0,从而关掉控制门,计数完毕。但是由于启动时要求上升沿先到达以达到正确记数,所以要外加电路使电路在第一个下降沿之后开始工作,也就是U1A 的作用,读者可以自行分析。接下来就只要扩展为1000进制计数器了。 七.所用的元器件:双D 触发器74LS74×2,与非门74LS00×2,计数器74LS160×2,七段共阴数码管2个,开关1个。 八.思考扩展模块: (1).如何实现测量信号的占空比。 (2).提高测量的精度,进行误差分析。 ﹠ 1D 1CP 1S D 1Q 1R D 1D 1S D 1CP 1Q 1R D 2S D 2Q 2CP 2 R D 2D ﹠ 1 ﹠ 1 QD QC QB QA 74LS160 ENP LOAD ENT CLK CLR QD QC QB QA ENT CO ENP 74LS160 LOAD CLK CLR “1” “1” Vcc Vcc Vcc 接译码器 Fx 标准信号 U1 U2 U3 U4 U5 U1A U2A U2B K

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

数电课程设计报告

数电课程设计报告 姓名:李鹏鹏 学号:04113063 指导老师:董瑞军

目录 1.概述 ---------------------------------------------------3 2.原理图 --------------------------------------------------3 3.FPGA与ADC0809VHDL控制程序 ------------------------------3 4.FPGA中储存模块 -----------------------------------------7 5.储存器控制模块 ----------------------------------------8 6.FPGA与DAC0832的连接和控制 ------------------------------11 7.分频模块 ---------------------------------------14 8.顶层模块设计 ----------------------------------------15 9.RTL视图 ----------------------------------------------18 10.研究体会 -----------------------------------------------19

一.概述 课题要求通过FPGA对A/D和D/A转换的控制,使得FPGA的输入量和输出量一致,根据原理设计出如下框架图。本报告主要内容从A/D转换器(ADC0809)前端的测温电路开始,经过取样保持电路,详细介绍了A/D转换器与FPGA芯片VHDL控制程序,以及FPGA的对数据的储存和控制模块,之后说明D/A转换器(DAC0832)控制程序和转换器后端的电路图,并完成顶层模块设计以各模块,主要过程在计算机上进行仿真,报告中附以详尽说明的仿真波形和统计报告。 二.原理图 若模拟信号变化速度较快,需要在A/D之前加入采样保持电路,以保证转换精度。在这里选择LF398(LF398是一个专用的采样保持芯片,它具有很高的直流精度和较高的采样速率,器件的动态性能和保持性能可以通过合适的外接保持电容达到最佳。)模拟输入量U0(t)从IP进入,采样输出量从OP口输出至ADC0809的IN口(IN0-IN7的选择由ADDA-ADDC决定),逻辑输入控制端与START连接。ADC0809和FPGA的连接和FPGA和DAC0832的连接如图,而在DAC0832后端,由于输出的为模拟电流量,若需输出电压量,则可加入如图电路。 三.FPGA与ADC0809VHDL控制程序 ADC0809的引脚图如下 IN0-IN7模拟量输入通道 ADDA,ADDB,ADDC---输入通道选择地址,按其状态选择输入通道。

数电课程设计数字电子钟说明书

数字电子技术电路课程设计题目:数字钟课程设计 学院:XXXXX 专业:XXXXX 班级:XXXX 姓名:XXXX 学号:XXXXX 指导老师:XXXXX

一、设计目的 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 二、设计要求 1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 3.能够正点报时(用555产生断续音频信号); 三、设计方案比较 方案一、采用中小规模集成电路实现 采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。 方案二:EDA技术实现 采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展 方案三、单片机编程实现 此方案采用单片机编程来设计和控制。 综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。 四、设计过程和说明 1.数字电子钟计时和显示功能的实现 (1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。(图)

(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)

数字电子技术课程设计-题目

数电课设说明 1.单人单题,每个题目在同一班不能超过5人选择!为了避免重复过多,请填写两个心仪的题目。 2.如果这些题目都进不了尊驾法眼,请自行设计题目名称、题目要求并提交给老师,审核通过后也可以正式进场施工。 3.建议先用Multisim或Proteus仿真测试再制作。 4.经费由学校统一下达,每人20元,元器件请由班级或个人自行购买。 5.请电信121、122填写好下表,于下周二前发送到农民工邮箱baojinghaiqq.。 钦州学院数电课设题目小全 1.智力竞赛抢答装置的设计 (1)基本功能 ①4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应; ②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始; ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止; ④抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止; ⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。

数电课程设计题目

按以下要求设计电路,画出逻辑框图,分析原理,在仿真软件中搭建电路并验证结果: 1、试用两片双4选1数据选择器74HC153和3线-8线译码器74HC138接成16选1的数据选择器。 2、试设计一个可以实现余3码和8421BCD码相互转换的逻辑电路。当开关置于某状态时,将余3码转换成8421BCD码;当开关置于另一种状态时,将8421BCD码转换成余3码。 3、试设计一个可显示十进制数0-9的键盘编码器。十个按键,分别对应数字0-9,当按下其中一个键时,十进制数会被编码成相应的BCD码,并由七段数码管显示该按键所对应的数字。 4、试利用D触发器构成T触发器、T’触发器和JK触发器。验证以上4种触发器的功能并加以说明。参照《电工电子技术实验指导》实验26中的实验记录表(如表26-8)验证仿真结果。 5、设计一个数字钟电路,要求能用七段数码管显示从0时0分0秒到23时59分59秒之间的任一时刻。 6、利用两片同步十进制计数器74160接成同步三十一进制计数器,可以附加必要的门电路,并用七段数码管显示计数结果。 7、试用555定时器设计一个单稳态触发器,要求输出脉冲宽度在1-10s的范围内可手动调节。 8、试用555定时器设计一个多谐振荡器,要求震荡周期为1s,输出脉冲幅度大于3V而小于5V,输出脉冲的占空比q=2/3。 9、设计制作一个可容纳4组参赛的数字式抢答器,每组抢答按钮供抢答时使用,且电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮,同时电路应具有自锁功能,使别的抢答开关不起作用。 10、倒计时计时器的用途很广泛。它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。用三个可预置数的减计数器组成三位二-十进制减计数器,用三个译码器和三个LED数码管显示器。

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数电课程设计电梯控制系统新编

湖南工程学院课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级 1081 学号 姓名罗超超 指导教师龚志鹏 2012年 11月 23日湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期 2012年11月19日星期一 设计完成日期 2012年11月23日星期五

目录

一、系统总体设计方案及系统框图 设计方案: ⑴系统的时钟脉冲信号是由函数发生器发生。时钟信号通过一定与门和与非门输入同步加减计数器74LS192N,控制其加减计数。 ⑵系统的开关控制电路是由D触发器和与非门构成,控制信号灯的工作状态。 ⑶系统的核心电路是由编码器74LS148N,数据比较器74LS85,同步可加减计数器74LS192N,与一定的门电路组成,实现对电梯的上升和下降的控制。 设计原理: ⑴系统的信号灯控制部分是由开关和D触发器构成,开关先接高电平,按下开关时,给了一个高电平触发信号输入给D触发器使其锁存高电平信号,从而使信号灯一直亮直到信号结束。 ⑵系统的核心部分是由编码器74LS148N,数据比较器74LS85,同步十进制可逆计数器74LS192N,译码器CD4511和一定的门电路组成。按下开关时,产生的高电平信号由D触发器传给74LS148N编码器,产生后的编码输入74LS85数据比较器,通过与74LS192N输出编码比较,产生

的信号再连接时钟信号通过一定的门电路输入74LS192N计数器,控制计数器的加减,从而实现电梯的上升与下降。 系统总电路图: 二、单元电路的设计 信号的输入,锁存及显示: 该单元电路如下图所示: 将D触发器的Q非端与D端相连组成T触发器,当时钟输入端CLK输入高点平,Q端的状态翻转。D触发器的复位端输入复位信号,Q端输出低电平,LED灯熄灭。

电子秒表电路的设计

摘要 在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。数码显示器就是用来显示数字、文字或符号的器件。七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。?关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。 ?1电子秒表简介 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。 本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。 图1-1 电子秒表电路的基本组成框图

2单元电路设计及相关元器件的功能简介 2.1基本RS触发器 本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。其功能表如表2-1所示。 RSQn Qn+1功能 0 0 0 不用不允许 0 0 0 不用 0 1 00 Qn+1=0,置0 0 1 1 0 1011Qn+1=1,置1 10 0 1 1 1 11Qn+1=Qn,保持 1 1 0 0 表2-1 基本RS触发器 如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。再切换按钮开关K2,则Q由0变为1,门5开启,为计数器启动作好准备;Q由1变为0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作. 图2-1 基本RS触发器

数电课程设计题目汇总资料

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

数字电子技术课程设计-题目

¥ 数电课设说明 1.单人单题,每个题目在同一班不能超过5人选择!为了避免重复过多,请填写两个心仪的题目。 2.如果这些题目都进不了尊驾法眼,请自行设计题目名称、题目要求并提交给老师,审核通过后也可以正式进场施工。 3.建议先用Multisim或Proteus仿真测试再制作。 4.经费由学校统一下达,每人20元,元器件请由班级或个人自行购买。 5.请电信121、122填写好下表,于下周二前发送到农民工。 ; 钦州学院数电课设题目小全 1.智力竞赛抢答装置的设计 (1)基本功能 ①4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应; ②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始; ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止; ④抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止; *

⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 74LS175、74LS20、74LS00、CC40192、CC4511、CC40106、555、数码管、蜂鸣器 2.多功能数字钟的设计 、 (1)基本功能 ①用七段LED数码管以十进制显示“时”、“分”、“秒”; ②计时计数器用24进制计时电路; ③可手动校时,能分别进行时、分的校正; ④整点报时。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 》 (3)主要器件 CC4013、CC4060、74LS90、CC4511、CC4068、数码管 3.3位半直流数字电压表的设计 (1)基本功能 ①量程:一档:+~0~- 二档: +~0~- ②用七段LED数码管显示读数,做到显示稳定、不跳变; 《 ③保持/测量开关:能保持某一时刻的读数; ④指示值与标准电压表示值误差最低位在5之内。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 CC14433、MC1413、MC1403、CC4511、七段LED数码管器

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

相关文档
最新文档