第4章 电路原理图绘制的优化

合集下载

第4章 电路原理图设计(三)绘制直流稳压电源电路原理图

第4章  电路原理图设计(三)绘制直流稳压电源电路原理图

14、修改元件属性: (1)设置元件编号 (2)修改元件标称值
(3)不显示元件注释 15、在电路中输入相应的文字和波形图:
16、编译工程: 执行菜单命令【Project】/【Compile PCB Project】
17、生成Protel网络表: 执行菜单命令【Design】/【Netlist】/【rotel】 18、生成文件报表: 执行菜单命令【Reports】/【Bill of Materials】
精品课程
原理图模板的制作
(2)绘制模板表格
选择绘图工具栏中的直线工具,按【Tap】按键设 置好直线属性,在原理图右下方绘制模板表格。
精品课程
原理图模板的制作
(3)添加文字
绘制好模板表格后,在 模板中添加文字,选择 绘图工具中的文字工具, 按【Tap】按键弹出如图 所示的属性对话框,在 对话框的【Text】栏中 输入文字。
原理图元件 PCB管脚封装 当前元件库名称
原理图元件库介绍 绘制原理图就是将代表实际元件的电气 当前库中的原 符号(既原理图元件)放置在原理图图纸中, 理图元件列表 并用具有电气特性的导线或网络标号将其连 接起来的过程。Protel DXP为了实现对众 当前原理图元 件符号预览 多原理图元件的有效管理,它按照元件制造 商和元件功能进行分类,将具有相同特性的 原理图元件放在同一个原理图元件库中,并 当前元件默认 管脚封装 全部放在Protel DXP安装文件夹的Library 文件夹中。
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程
精品课程

Altium Designer 16电路设计 第四章 原理图后续处理

Altium Designer 16电路设计 第四章 原理图后续处理
②Duplicate sheet numbers 在画多层原理图时,同一项目出现重复的图纸编号。 ③Missing child sheet for sheet symbol 在画多层原理图时,方块电路图中缺少对应的子原理图。
4.1.4编译查错 二、常见错误报告解释 2、连接错误
①Conflicting Constraints 属性出现冲突。
解决方法:①在新放置元器件时注意元件的标识符,避免
出 现 同 一 标 识 符 ; ② 在 SCH 界 面 中 通 过 【Tools】→ 【Convert 】→【Reset Component Unique IDs】进行标识 符重置。
4.1.4编译查错 一、原理图常见编译错误及解决方法 6. Compiler Component xxx has unused sub-part 含义:器件存在未使用部分
No Report (不报告,即无错误)。其中错误等级最高为
Fatal error(重大错误)其次是Error(错误),当编译后 出现这两种错误的情况,系统会自动弹出“Message”面板, 并显示错的地方。
4.1.4编译查错 (3)LM317可调稳压电源原理图进行编译查错 打 开 原 理 图 , 选 择 菜 单 栏 中 的 【 工 程 】→【Compile Document LM317.SchDoc】,按上述方法打开“Message”面
“标注”对话框,在标注对话框中的属性 - 文本框中填入
“接交流变压器”后按确定按钮,将文本放置在P1接口的左 边,为电路的输入接口端“P1”添加说明。
设置文本的 颜色
文本在电路图纸上 的位置
旋转角度
输入文本框
文本字体及文本大 小设置
4.1.2 说明与注释 (2)为 电路图 添加原理说明 择菜单栏中的【放置】→【绘图工具】→【圆角矩形】会 出现一个圆角矩形跟随着鼠标,按下键盘的“Tab”键会弹

第4章 电路原理图绘制

第4章 电路原理图绘制

4.1.4 放置电气节点(Manual Junction) 放置电气节点( )
在Protel 99 SE中,默认情况下,系统会在导线的T型交叉点处自动放置 电气节点,表示所画线路在电气意义上是连接的。但在其他情况下, 如十字交叉点处,由于系统无法判断导线是否连接,因此不会自动放 置电气节点。如果导线确实是相互连接的,就需要用户自己手动来放 置电气节点。 手动放置电气节点的步骤如下。 1.单击“Place”→“Manual Junction”菜单命令,也可以按下快捷键 操作P+J,这时鼠标变成十字形状,并带有一个电气节点符号。 2.移动光标到需要放置电气节点的地方,单击鼠标左键即可完成放置。 此时鼠标仍处于放置电气节点的状态,重复操作即可放置其他的节点。
3.设置输入输出端口的属性。 在放置输入输出端口的过程中,用户便可以对输入输出端口的属性进 行编辑。双击输入输出端口或者在鼠标处于放置输入输出端口的状态 时按Tab键即可打开输入输出端口的属性编辑对话框,如图所示。 “Name”: 端口名称的设置。这是端口最重要的属性之一,具有相 同名称的端口存在着电气连接特性。 “Style”:端口外观风格的设置,有“None(Horizontal)”、 “Left”、“Right”、“Left & Right”、“None(Vertical)”、 “Top”、“Bottom”和“Top & Bottom”8中选择。 “I/O Type”: 设置端口的电气特性,对后来的电气法则提供一定的 一句。有“Unspecified”(未指明或不确定)、“Output”(输出)、 “Input”(输入)和“Bidirectional”(双向型)4种类型可供选择。 “Alignment”: 对端口名称的位置进行设置,有“Center”(居 中)、“Left”(靠左)和“Right”(靠右)3中选择。 “Length”: 端口长度的设置。 “Location”: 端口位置的设置。 “Border”:边框颜色的设置。 “Fill Color”: 端口内填充颜色的设置。 “Text”: 文本颜色的设置。

电路基础原理理解电路中的电路设计与电路优化

电路基础原理理解电路中的电路设计与电路优化

电路基础原理理解电路中的电路设计与电路优化在当今科技高速发展的时代,电路设计与电路优化成为了电子领域中非常重要的研究方向。

电路设计涉及到各种电子器件的组合和布局,而电路优化则是通过改进电路的性能和功能,提高其工作效率和可靠性。

电路设计的第一步是了解和理解电路基础原理。

电路基础原理包括电流、电压、电阻、电感和电容等概念。

电流是指电荷在电路中的流动,而电压则是电路两个点之间的电势差。

电阻、电感和电容则是三种常见的电子器件,它们分别用来限制电流的流动、存储和释放电能。

在理解电路基础原理的基础上,电路设计师需要了解各种各样的电子器件。

这些电子器件可以分为被动器件和主动器件两类。

被动器件是指那些没有放大功能的器件,如电阻、电感和电容。

而主动器件则是带有放大功能的器件,如BJT(双极型晶体管)和MOSFET(场效应晶体管)。

这些器件能够将输入信号放大,并产生输出信号,实现电路的功能。

在电路设计中,电路拓扑结构的选择也非常重要。

电路拓扑结构是指电路中各个组件之间相连接的方式。

常见的电路拓扑结构包括串联、并联和混合结构等。

串联电路是指电路中各个组件依次连接起来,电流从一个组件流入下一个组件。

而并联电路则是各个组件并排连接,电流同时流过各个组件。

混合结构则是串联和并联的结合,既有电流依次流动的部分,也有电流同时流动的部分。

然而,单纯的电路设计并不足以满足实际需求。

电路优化成为了提升电路性能和功能的重要手段。

电路优化可以从多个方面进行,如降低功耗、提高响应速度和增大带宽等。

其中,功耗优化是一项极其重要的工作。

随着电子产品的普及和使用频率的提高,低功耗电路成为了一个热门研究领域。

通过改进电路拓扑结构、优化器件参数和减少器件间的耦合等手段,可以有效降低电路功耗。

响应速度和带宽优化则是在电路工作性能方面的考虑。

响应速度是指电路从输入信号到输出信号产生的时间间隔,而带宽则是指电路能够传输的频率范围。

提高响应速度和增大带宽可以使电路在高频率下工作更加稳定和可靠。

Protel-99-SE中文教程-第四章-绘制原理图

Protel-99-SE中文教程-第四章-绘制原理图

4.1.3 新建项目与原理图文件
(1)开启Protel DXP (2)在D盘上新建一种Student文件夹用于存储新建旳原
理图文件。 (3)新建一种项目文件。 (4)执行菜单命令File | Save Project As将新建成旳项
目文件保存在D盘Student文件夹中,并命名为My work1,其扩展名仍为.PrjPCB。 (5)在新建旳项目文件中,新建一种原理图文件。 (6)执行新建原理图文件操作后,进入默认旳 Sheel1.SchDoc原理图编辑界面。
知识:不但要熟悉电路及其原理、元器件旳参数及选 用,还要熟悉世界各大元器件厂商旳升级换代产品, 新型元器件旳封装,做许多绘图外旳详细工作。
在绘制过程中还要进行许多编辑操作,即涉及一 般旳移动、复制、粘贴等编辑,也涉及各类元器件旳 属性编辑,必要时,还要进行自定义元件旳操作,另 外,系统设置、页面设置、模板设置等软件应用旳专 业知识对绘原理图也起着主要旳作用。
图4.1 设计原理图旳常规流程图
创建项目文件和原理图文件 设置图纸参数 调入元件库 放置各类元器件 进行布局和布线
文件保存和打印输出
4.1.2 常规设计环节
开启Protel DXP,新建设计项目是设计图 纸旳第一步操作,当然并不是一定要建立项目 文件后才能够建立原理图文件,虽然没有项目 文件,也能够利用原理图编辑器建立一种自由 旳原理图文件(Free Schematic Sheets),保存 后它不属于任何项目。这种功能,在只想画出 一张原理图而不做任何其他后续工作时,显得 比较以便。后来假如需要时,依然能够把这个 原理图文件添加到其他项目文件中去。
提醒:伴随在“关键字过滤栏”中输入旳 关键字不同,Place Res2按钮也会发生相应旳 变化,如关键字为Cap,则按钮变成Place Cap, 元件放置措施相同。

OrCAD电路原理图设计入门与提高(章 (4)

OrCAD电路原理图设计入门与提高(章 (4)
图4-7 【New Part Properties】对话框 19
第4章 创建元件库、元件、中文标题栏和符号 (3) 在图4-7所示对话框中单击“OK”按钮,将进入元件设 计窗口(Part View),如图4-8所示。 图4-8所示的“LIBRARY1-MYRESISTOR”窗口是元件设计 窗口,相当于生产汽车零部件的车间,而图3-3所示的原理图设 计窗口相当于组装汽车的总装厂,这两个窗口外观相似,本质不 同,它们的工具栏也有差别。

3
第4章 创建元件库、元件、中文标题栏和符号 创建自己的元件库有两种方法: (1) 在图3-1所示的OrCAD Capture系统窗口中执行【File】 →【New】→【Library】菜单命令,就会创建如图4-1所示的 Library1.olb,默认的名称还可能是Library2.olb、 Library3.olb等。 (2) 在已经打开某个设计文件后,项目管理窗口为当前窗口, 执行【File】→【New】→【Library】菜单命令,就会创建如图 4-2所示的Library1.olb,默认的名称还可能是Library2.olb、 Library3.olb等。
13
第4章
创建元件库、元件、中文标题栏和符号
4.3 进入元件设计窗口
按照4.1节介绍的方法建立一个库文件,下面介绍进入元件 设计窗口(Part Editor)的基本步骤,也就是新建一个元件的基 本步骤。
14
第4章 创建元件库、元件、中文标题栏和符号 设计实例3:新建一个元件 (1) 在项目管理窗口的Library文件夹中选中某一个元件库 的图标,再执行鼠标右键命令【New Part】,如图4-6所示。或 者在选中元件库的图标后,执行【Design】→【New Part…】菜 单命令。

电子电路设计优化电路布局的技术方法

电子电路设计优化电路布局的技术方法

电子电路设计优化电路布局的技术方法电子电路设计的优化是为了提高电路性能,降低功耗,以及减小电路布局的规模。

电路布局是电子电路设计中至关重要的一环,直接影响到电路的性能和可靠性。

本文将介绍几种优化电路布局的技术方法。

一、规划布局在电子电路设计之初,就应该考虑到电路布局的规划。

规划布局时,可以考虑以下几个方面的要素:1. 大电流回路与小电流回路的分离:将大电流回路和小电流回路分开布局,可以避免相互干扰。

2. 低频信号与高频信号的分离:将低频信号和高频信号分开布局,可以减少互相干扰,确保信号的纯净性。

3. 模拟电路与数字电路的分离:模拟电路和数字电路有不同的要求,应该将它们分开布局,以免产生互相干扰。

4. 散热部件的合理布局:为了保证电路的散热效果,散热部件如散热片、散热器等应该合理布局,以保持温度的稳定。

二、地线布局良好的地线布局有助于减小电路的电磁干扰,提高电路的稳定性。

以下几点是地线布局的重要原则:1. 采用星型结构:将所有地线连接到一起,并与接地点相连,以确保地线的相对稳定性。

2. 地线的宽度和长度:地线的宽度和长度应该适当,以减小地线的电阻和电感,提高电路的工作效果。

3. 地线与信号线的分离:地线和信号线应分开布局,以避免互相干扰。

4. 防止回流环:在地线布局中要注意避免形成回流环,以防止电流的不稳定和电磁干扰。

三、信号线布局信号线布局的好坏直接影响到电路性能的稳定性和接收到的信号质量。

以下是信号线布局的几点要求:1. 信号线的长度:信号线的长度应尽量短,以减少传输信号的失真和噪声。

2. 信号线与电源线的分离:信号线和电源线应分开布局,以避免电源线对信号线的干扰。

3. 信号线与地线的分离:信号线和地线应分开布局,以避免地线对信号线的干扰。

4. 交叉点的处理:当信号线交叉时,应采取交叉斜交或者层叠的方式,以减少相互干扰。

四、组件布局组件布局是指将各个电子元件合理地排列在电路板上,以保证电路正常工作。

第四章 电路优化方法

第四章 电路优化方法

<
乘 法
选 @
Sel A0 A1
B
0 1
选 @
<
乘 @
Result
图10-2 先选择 后乘设计方法 RTL结构
逻辑优化
ARCHITECTURE rtl OF mult1 IS signal ta,tb : std_logic_vector(11 downto 0); BEGIN process(clk) begin if(clk'event and clk = '1') then ta <= ma; tb <= "100110111001"; mc <= ta * tb; end if; end process; 在此构建了一个两输入的乘法器: END rtl;
tmpb <= b0 when cnt = 0 else b1 when cnt = 1 else b2 when cnt = 2 else b3 when cnt = 3 else b0; tmp <= tmpa * tmpb; process(clk) begin if(clk'event and clk = '1') then if(start = '1') then cnt <= "000"; ytmp <= (others=>'0'); elsif (cnt <4) then cnt <= cnt + 1; ytmp <= ytmp + tmp; elsif (cnt = 4) then yout <= ytmp; end if; end if; end process; END s_arch;

电路设计的原理图有什么优化方式

电路设计的原理图有什么优化方式

电路设计的原理图有什么优化方式
课程介绍详解“优化整个电路设计的原理图”主要涉及的几个问题
第一个问题是如何实现电路中死区的延时功能?
第二个问题就是电源通过电阻对电容充电充到什么位置?
第三部分是稳压管在电路中起到什么作用?
整个系列的课程流程是这样的:
首先,我们需要在电路中的死区设计一个延时功能,然后,我们观察电源对电容充电时电流的变化,以及充电的位置,最后,我们学习稳压管在电路中的作用并运用稳压管的特性对桥式电路进行一个整体的优化。

我们在整个课程中,不仅仅是一个学习优化电路设计的原理图的过程。

我们同样注重如何通过分解电路思考来完成课题研究,案达到实验目的,一个系统的学习方式能梳理出每个设计学习的重点难点,这对于每个进行电路设计的学习者都是十分受用的。

学习获得:
通过这个课程你可以:
掌握稳压管的使用技巧;
快速高效设计桥式电路;
了解桥式电路死区的含义;
提升技术,升职加薪。

适宜学习人群:
1、对电路设计感兴趣的同学(含电子信息类的大学生,工程研发技术人员,电子爱好者等);
2、只会设计电路但对原理却感觉模糊的同学;
3、工作中需要用到电路控制相关知识的人群;
4、希望提升技术水平,获得更高薪水的工程师。

专栏课程27个课时(点击教程即可观看)如何从彩扩机项目的原理入手展开实践设计。

电子工程中的电路设计优化

电子工程中的电路设计优化

电子工程中的电路设计优化电路设计优化是电子工程领域中非常重要的一项技术。

随着科技的不断发展,电路设计面临着越来越多的挑战和需求。

为了提高电路的性能、降低功耗,并实现更加智能化和便捷化的功能,电路设计优化成为关键的技术手段。

本文将从不同层面对电子工程中的电路设计优化进行探讨。

1. 电路设计的基本原则在进行电路设计优化的过程中,需要遵循一些基本原则。

首先,要充分了解电路的需求,包括输入输出特性、工作环境等方面的要求。

同时,需要合理选择电路拓扑结构、器件和参数,确保设计具有良好的性能和稳定性。

其次,要注重电路的可靠性和稳定性,采用适当的保护措施,降低故障和损坏的风险。

最后,要进行充分的仿真和测试,确保设计符合预期的要求。

2. 电路设计的优化方法在电子工程中,有多种方法可以对电路进行优化。

一种常用的方法是基于模拟和数字混合的优化。

通过将电路分为模拟部分和数字部分,可以分别对其进行优化,提高电路的整体性能。

另一种方法是采用优化算法进行电路设计的搜索和优化。

这些算法可以根据设计目标和约束条件,自动搜索最优的设计方案。

同时,还可以使用进化算法、遗传算法等智能算法,对电路进行综合优化。

3. 电路设计的性能指标电路设计的性能指标是评价电路优化效果的重要依据。

其中,电路的功耗是一个重要的指标。

通过降低功耗,可以提高电路的能效和续航能力。

此外,还需要考虑电路的速度、带宽、噪声等方面的指标,以确保电路能够满足特定的应用需求。

此外,还需要考虑电路面积、成本等因素,以综合评估电路设计的优劣。

4. 电路设计的优化案例在实际的电子工程中,有很多成功的电路设计优化案例。

以功耗优化为例,可以采用低功耗的设计技术,如时钟门控技术、电压频率缩减技术等,来降低电路的功耗。

在性能方面,可以采用经过精心设计的放大器、滤波器等电路,来提高电路的增益和带宽。

此外,还可以利用智能化算法和自动化工具,对电路进行自动优化,提高设计效率和精度。

总结:电子工程中的电路设计优化是提高电路性能和功耗的重要手段。

Protel 99 SEEDA技术及应用第4章 绘制单片机最小系统电路原理图

Protel 99 SEEDA技术及应用第4章 绘制单片机最小系统电路原理图

双击“单片机最小系统.sch”图标,就进入到原理 图编辑画面,如下图 所示。
4.1.3 设置图样参数 在原理图设计窗口单击右键,屏幕上出现如左下图所示 的快捷菜单,单击“Document Options…”,将会出现右 下图所示设置图样的对话框。可进行图样参数设置。
4.2 装载元件库和放置元件
下图所示为放置了元件的单片机最小系统电路原理图。
4.3 连接线路和放置标号 4.3.1 绘制“Bus”Байду номын сангаас(总线)
所谓总线就是用一条线来代表数条并行的导线。 1)执行绘制总线的命令。选择“Place”菜单,然后 在弹出的下拉菜单中选择“Bus”选项。此操作也可用下 面的方法代替: ●按下P键,松开后按下B键。 ●用鼠标左键单击“Wiring Tools”工具栏中的 按 钮。
设置网络标号的具体步骤如下:
1)选择“Place”菜单,然后在弹出的下拉菜单中 选择“Net Label”选项。此操作也可用下面的方法代替:
●按下P键,松开后按下N 键。 ●用鼠标左键单击“ Wiring Tools”工具栏中的 按钮。
2)此时,光标将变成十字状,并且将随着虚线框 在工作区内移动,接着按下Tab键,工作区内将出现 “Net Label”对话框,在对话框中输入网络标号的名称, 放置在相应的元件引脚或导线上即可。
Libraries.ddb ,单击下方的“Add”按钮添加。
4.2.2 放置元件 ⑴ 单击“Wiring tools”工具栏中的放置元件图标
图所示 “Place Part” 放置元件对话框。。
,会出现如下
在对话框栏中填入要放置的元件图形样本名“D8031(40)”,标号 名 称 “ U1” , 元 件 类 型 “ 8031” , 元 件 封 装 “ DIP40” 。 单 击 下 部 的 “OK”,元件即可出现在原理图样画面上 。

第4章绘制原理图

第4章绘制原理图

4.2.2 自定义图纸
(3)【自定义风格】 自定义风格】 + 可以根据实际需要自定义图纸尺寸 图纸边框 根据实际需要自定义图纸尺寸, 边框. 可以根据实际需要自定义图纸尺寸,图纸边框. + 其中: 其中: 区域数】 填入数字可设定图纸水平方向的分区数 水平方向的分区数. 【X 区域数】:填入数字可设定图纸水平方向的分区数. 区域数】 填入数字可设定图纸垂直方向的分区数 垂直方向的分区数. 【Y 区域数】:填入数字可设定图纸垂直方向的分区数. 边沿宽度】 填入数字可设定图纸边框宽度 边框宽度. 【边沿宽度】:填入数字可设定图纸边框宽度. + 2004中使用的尺寸是英制 它与公制之间的关系是: 中使用的尺寸是英制, 在Protel 2004中使用的尺寸是英制,它与公制之间的关系是: 1inch = 2.54cm 1inch = 1000mil
创建项目文件和原理图文件
设置图纸参数
调入元件库
放置各类元器件
进行布局和布线
文件保存和打印输出
4.1.2 常规的设计步骤
启动Protel 2004后 新建设计项目文件是设计图纸的第一 启动Protel 2004后,新建设计项目文件是设计图纸的第一 步操作,然后建立原理图文件 建立原理图文件. 步操作,然后建立原理图文件. 原理图文件建立以后,首先要进行设计系统的环境设置 设计系统的环境设置. 原理图文件建立以后,首先要进行设计系统的环境设置. 图纸页面设计是绘图前的准备工作.页面设计主要包括 图纸页面设计是绘图前的准备工作.页面设计主要包括调 主要包括调 是绘图前的准备工作 入元件库,放置元件和调整元件位置. 入元件库,放置元件和调整元件位置.从元件库中找到元 件进行放置,是绘图最基本的前期操作. 件进行放置,是绘图最基本的前期操作. 在图纸设计过程中,图纸的放大和缩小操作是必须掌握的 在图纸设计过程中,图纸的放大和缩小操作是必须掌握的 基本方法,可以使元件的位置放置准确,连线直观. 基本方法,可以使元件的位置放置准确,连线直观. 整体布局要注意电路设计的规则,一般来说, 整体布局要注意电路设计的规则,一般来说,从信号进入 要注意电路设计的规则 开始,电源在上,地线在下且与电源平行,左边是输入端, 开始,电源在上,地线在下且与电源平行,左边是输入端, 右边是输出端,按信号流向摆放元件, 右边是输出端,按信号流向摆放元件,同一模块摆放在一 不同模块的元件稍远一些放置. 起,不同模块的元件稍远一些放置.

第4章 电路原理图绘制的优化方法修改

第4章 电路原理图绘制的优化方法修改

4.4使用自下而上的层次电路设计方法优化绘制
4.4.1 创建子模块电路
4.4.2 创建主模块文件
4.4.3 从子电路生成子电路模块
菜单Design→Create Symbol From Sheet 4.4.4 连接电路模块
4.5在电路中标注元件其它相关参数优化绘制
在音频电路中包含电阻元件,当电阻体内有电流流过时要发热,温度
第4章 电路原理图绘制的优化方法
在第三章中已经完成了电路原理的绘制,但电路图
的线路连接不清晰,使读者很难理清电路的结构,另外 电路的功能不能很直观的表达,因此在本章中要对绘制 好的电路原理图进行优化,以增强电路的可读性。
4.1使用网络标号进行电路原理图绘制的优化 4.1.1 创建新的原理图绘制窗口 4.1.2 采用复制方式输入电路原理图 菜单Edit→Select→All、菜单Edit→Copy 4.1.3 删除电路原理图中部分连线 4.1.4 使用网络标号优化电路连接 4.1.5 使用网络表查看网络连接
太高容易烧毁,为了使电路正常工作,在选用电阻时用户需要考虑选 择何种功率的电阻;电路中还用到电容,电容的耐压值的合理选取是
保证电路正常工作的重要参数;此外,电路中用到二极管,如果反向
电压选取不当,可能会造成二极管被击穿。因此在电路中标注元件参 数便于阅读电路。
4.6在电路中标注输入/输出信号优化绘制
4.2使用端口进行电路原理图绘制的优化
4.2.1 采用另存为方式创建并输入原理图
4.2.2 删除电路原理图中部分连线
4.2.3 使用I/O优化电路连接
4.2.4 使用网络表查看网络连接
4.3使用自上而下的层次电路设计方法优化绘制
项目方框图
子系统模块

画好原理图的技巧

画好原理图的技巧

画好原理图的技巧不光是代码有可读性的说法,原理图也有。

很多时候原理图不仅仅是给自己看的,也会给其它人看,如果可读性差,会带来一系列沟通问题。

所以,要养成良好习惯,做个规范的原理图。

此外,一个优秀的原理图,还会考虑可测试性、可维修性、BOM表归一化等。

1 分模块如上图所示,用线把整张原理图划分好区域,和各个区域写上功能说明,如:电源、STM32等。

这样让人更清晰、更快速地理解整个原理图,调试、维修的时候也很容易根据问题来查找电路。

2 标注关键参数如上图,标注了最大输出电流,这样可以方便别人修改电路的时候,知道电源能不能带得起负载。

也可以写其它参数,如:输入电压范围,适用的温度范围,甚至是数字电路中的真值表等。

3 电阻/电容/电感/磁珠的注释电阻如上图所示,每个电阻都写上阻值、精度。

针对大功率电阻,也可以写上功率,要视具体情况灵活变通。

一般对于开关电源上的采样电阻以及运放电路上的电阻得用1%精度,上下拉电阻可以使用5%精度。

注意:这里的阻值不建议写成102,要直接写成1K。

尽量不要让别人去做这个换算,或者人家也不会算。

电容如上图所示,每个电容都写上了容值和耐压。

针对高精度电容,也可以写上精度,或者是材质。

如:瓷片电容的材质有X7R、Y5V、NP0等。

注意:这里的容值不建议写成105,要直接写成1uF。

电感如上图所示,每个电感都写上电感值和饱和电流。

磁珠如上图所示,每个磁珠都写上阻值和对应的频率。

其它元件其它元件也是和电阻、电容等类似,如:晶振8MHz 50ppm等,要举一反三。

4 可维修性如上图所示,增加L1电感,以便维修时可以断开,更容易排查故障。

这里可以使用电感、磁珠或者0R电阻,视具体情况而定。

但是也有特殊情况,如果负载特别大,需要的串入的元件功率很大,成本增加太多,也是划不来的,这时,可以不加。

如果后面接的是QFP64封装之类芯片,功率又比较小,可以串入元件,因为QFP焊接不良的情况会比较多。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

DA Output_New
从子电路生成电路模块
在同一文件夹下新建一个原理图文件
执行[设计][HDL文件或图纸生成图表符]命令 在弹出的选择文件窗口中选择Power_New文件
系统自动在新建原理图上产生带端口的图纸符
号“U_Power_New”,放置即可

连接电路模块(图纸符号)
从子电路生成电路模块
绘制主电路原理图(2)
3.
设置图表符的属性
图表符的编号 图表符对应的文件名
Power Power
绘制主电路原理图(3)
4.
编辑 Power图表符的端口
Power电路的四脚连接端子J1用于输入从外界 稳压电源来的电压;输出+15,-15和VCC。 故,Power子图有3个输入和3个数出端口。

生成相应原理图的网络表

【Design】【Netlist for Document】【Protel】
1
2
3
优化前后原理图对应网络表的对比

优化前

优化后
4.2 使用端口优化电路原理图的绘制

放置端口代替导线表示的电气连接
与网络标号类似,具有相同名称的I/O端口被视
为同一网络,即它们在电气上是相互连接的。


放置端口工具
待放置的端口
端口的属性
Alignment 端口中字的对齐
Style 端口外形
端口名称
I/O Type电气特性
放置端口AD0
放置端口前后的电路图的比较
放置端口后的电路图
原电路图
优化前后原理图对应网络表对比

优化前

端口代替连线后
4.3 使用自上而下的层次电路设计 方法优化绘制
DA-Output子电路
AT89S52 子电路
4.4 使用自下而上的层次电路设计方 法优化绘制

自下而上的方法是先子模块后主模块。
基本模块 子系统模块 基本模块 子系统模块 基本模块
基本模块
项目方框图
用自下而上的方法绘制P57实例电路

首先绘制3个子电路
Power_New.,AT89S52_New 和
编辑Power子图的端口

Power子图:
端口放置在矩形左边框
箭头朝右
端口外形种类

In1端口设置:
绘制主电路原理图(4)
5. 6.ຫໍສະໝຸດ 依次编辑其他子电路块 连接电路
在子电路块中输入电路原理图

首先建立子电路块与主电路的连接 —— 端口匹配
主电路中“图纸符号端口(Sheet
Entry)”
子电路中的“端口(port)”
对P57实例电路的分层
单片机及外围电路模块 AT89S52
电源模块 Power
D/A转换输 出模块 DA Output
绘制主电路原理图(1)
1. 2.
新建原理图文件 在图中放置图表符Sheet Symbol
布线工具栏中的“放置图表符”
鼠标下出现图表符, 拖动矩形顶角设置大小
完成子电路块的放置, 显示子电路块的编号和 对应的子电路文件名
Shift+单击 选中这些复杂的连线,而后 删除这些连线
删除部分复杂连线后的电路图
通过添加网络标号表示电气连接
注意网络标号放置时要出现红色“叉”标 志 为使网络标号顺号依次放置,可在第一个 网络标号放置前,按Tab键,先设置标号, 而后顺次放置即可。

添加网络标号后的原理图

更清晰整洁,并具有相同的电气连接关系 可通过网络表验证。
对于复杂电路,在一张电路图上绘制是不可 能的,因而可采用模块化设计方法。 层次设计方案实际上是模块化设计方法。 可将复杂电路系统(项目)分层,即分成 各个子功能模块,各子块之间通过定义好 的连接方式连接。

自上而下电路设计流程
项目方框图
子系统模块 子系统模块
基本模块
基本模块
基本模块
基本模块
1.
执行[设计][HDL文件或图纸生成图表符]
2.
1
选择子电路图
2
3. 4.
系统自动产生图纸符号U_Power_New 连接各图纸符号组成主电路
4 3
建立子电路块与主电路的连接(1)
在主电路图中,执行[设计][产生图纸] 2. 鼠标成“十”字形,移动鼠标到Power单击 3. 图纸自动跳到一个新打开(新建)的原理图 Power.SchDoc,图中已有系统自动生成的I/O端 口
1.
建立子电路块与主电路的连接(2)
4.
利用系统自动生成的I/O端口,在子电路图 Power.SchDoc 中完成电源模块电路图的绘 制。
第4章 电路原理图绘制的优化方法
4.1 使用网络标号进行电路原理图绘制 的优化

网络标号实际上是一个电气连接点,具有 相同网络标号的电气连接表明是连在一起 的,因此使用网络标号可以避免电路中出 现较长的连接线,从而使电路原理图可以 清晰地表达电路连接的脉络。
未优化前的电路图
这几部分电路复杂
使用网络标号优化后的电路图
相关文档
最新文档