EDA电子设计复习资料

合集下载

EDA复习资料要点全

EDA复习资料要点全

.-主要知识点1、从执行方式看VHDL的描述语句包括那些描述语句?用VHDL语言进行设计时,按描述语句的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)。

2、目前流行的硬件描述语言有那些?常用的硬件描述语言有ABEL-HDL AHDL.VHDL和Verilog-HDL.而VHDL和Verilog-HDL是当前最流行的并成为IEEE标准的硬件描述语言。

3、MAX+PLUS2中各种文件的扩展名有哪些?*.vhd *.sym *.gdf *.scf4、基于MAX+PLUS2的设计流程设计输入、编译处理、验证(包括功能仿真、时序仿真、和定时分析)和器件编程5、目前较流行的EDA设计软件有那些?ALTERA公司: MAX+PLUS IIQUARTUS II(全新的EDA软件,正在逐步替代 MAX+PLUS) LATTICE莱迪思公司: isp EXPERT SYSTEMisp DesignExpert SYSTEM XILINX西林公司: FOUNDATIONISE(全新的EDA软件,正在逐步替代FOUNDATION)6、可编程逻辑器件的分类?按照变成工艺分哪些类?SPLD 简单可编程逻辑器件CPLD 复杂可编程逻辑器件FPGA 现场可编程门阵列ISP 在系统(线)可编程逻辑器件按编程工艺分为:熔丝开关(一次可编程,要求大电流)可编程低阻电路元件(多次编程,要求中电压)EPROM型(紫外线擦除电可编程逻辑器件)E PROM型(电可擦写编程器件)基于SRAM的编程元件7、VHDL程序设计中常用的库有那些?哪些库是显式(默认打开的)的,哪些是隐式的?P159VHDL程序设计的常用库:IEEE库、STD库、WORK库、VITAL 库、用户定义库。

显示库:IEEE库用户定义库 VITAL库隐式库:、STD库、WORK库8、程序包由那两部分组成?分别有什么作用? P161程序包由两部分组成:程序包首和程序包体,程序包首为程序包定义接口,声明包中的类型、元件、函数和子程序。

《EDA技术基础》复习资料学习资料

《EDA技术基础》复习资料学习资料

EDA 技术基础》复习资料EDA复习资料《EDA技术基础》题库及参考答案(试用版)目录EDA复习资料 (I)一、填空题 (1)二、单选题 (4)三、简答题 (13)四、应用题 (14)五、V HDL程序填空: (16)一、填空题1. 现代电子技术经历了CAD 、CAE 和EDA三个主要的发展阶段。

2. EDA技术包括大规模可编程器件、硬件描述语言HDL 、EDA工具软件和实验开发系统四大要素。

3. EDA的设计输入主要包括文本输入方式、图形输入方式和波形输入方式三种形式。

4. 目前已经成为IEEE标准、应用最为广泛的硬件描述语言有VHDL 和Verilog HDL 。

仿真是一种对所设计电路进行间接检测的方法,包括_功能仿真和_时序仿真。

5. 层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成的。

先从底层的电路设计开始,然后在高层次的设计中逐级调用低层次的设计结果,直至实现系统电路的设计。

6. 用HDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块独立存在和独立运行。

7. 可编程逻辑器件从结构上可分为乘积项结构器件和查找表结构器件。

8. ___________________________________________________________________________________ PLD (FPGA、CLPD )种类繁多,特点各异。

共同之处包括的三大部分是逻辑块阵列、输入___________________ / 输出块和互连资源。

9. FPGA两类配置下载方式是主动配置方式和被动配置方式。

10. Quartus II是EDA器件制造商A ltera公司自己开发的EDA工具软件。

11. Quartus II工具软件安装成功后、第一次运行前,还必授权。

12. Quartus II支持原理图、文本和波形等不同的编辑方式。

13. 在Quartus II集成环境下,设计文件不能直接保存在计算机磁盘根目录中,因此设计者在进入设计之前,应当在磁盘根目录中建立保存设计文件的工程目录(文件夹) 。

EDA复习资料

EDA复习资料

第1章1.EDA技术:2.①硬件实现:大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA和CPLD编程下载、自动测试)②计算机辅助工程(计算机辅助设计CAD计算机辅助制造CAM计算机辅助测试CAT计算机辅助工程CAE)3.EDA电子设计自动化,SOC单片电子系统,HDL硬件描述语言,SOP标准操作程序,FPGA现场可编程序门阵列,CPLD复杂可编程逻辑器件4.FPGA和CPLD开发效率高,成本低,可编程5.EDA是微电子技术和现代电子设计技术的结合6.EDA发展:①电子设计成果以自主知识产权的方式得以明确表达成为可能②在仿真验证和设计方面都支持标准硬件描述语言的功能强大的EDA软件不断推出③电子技术全方位进入EDA时代7.利用EDA进行电子系统设计的最后目标:完成专用集成电路ASIC或印制电路板的设计和实现8.掩模ASIC分类:门阵列ASIC、标准单元ASIC、全定制ASIC9.FPGA和CPLD直接面向用户,具有极大的灵活通用性,使用方便,硬件测试和实现快捷,开发效率高,成本低。

10.H DL分类:VHDL、Verilog HDL(主流)、System Verilog、System C(未来)11.综合定义:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程12.传统的电子设计技术是自底向上的,特点是首先关注并解决底层硬件的可获得性自顶向下:在整个设计流程中各环节逐步求精的过程,从自然语言说明到HDL的系统行为,从描述系统的分解,RTL模型的建立,门级模型产生到最终的可以物理布线实现的底层电路。

13.自顶向下设计流程;设计说明书→建立HDL行为模型→HDL行为仿真→HDC-RTL级建模→前端功能仿真→逻辑综合→测试向量生成→功能仿真→结构综合→门级时序仿真→硬件测试→设计完成14.E DA仿真:时序仿真、功能仿真15.E da开发流程;原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试16.标准单元法必须预建完善的版图单元库,库中包括以物理版图级表达的各种电路元件和电路模块“标准单元”17.常用的HDL仿真器有ModelSim、Active HDL,VCS,NC-Sim18.I P(自主知识产权核)分类:①软IP(用硬件描述语言描述的功能块)②固IP(完成了综合的功能块)③硬IP(提供设计的最终阶段产品:掩模)19.I P内涵:①必须是为了易于重用而按嵌入式应用专门设计的②必须实现IP模块的优化设计20.优化的目标:芯片的面积最小,运算速度最快,功率消耗最低,工艺容差最大21.E DA工具:设计输入编辑器、仿真器、HDL综合器、适配器、下载器22.设计输入:①图形输入(原理图输入、状态图、波形图输入)②HDL文本输入ASIC设计流程:系统规格说明→系统划分→逻辑设计与综合→综合后仿真→版图设计→版图验证→参数提取后仿真→制版和流片→芯片测试。

电子eda知识点总结

电子eda知识点总结

电子eda知识点总结一、概述电子EDA(Electronic Design Automation)是指电子设计自动化,是一种通过计算机来辅助设计和验证电子电路的工具和技术。

电子EDA在电子行业中扮演着重要的角色,帮助工程师们提高设计效率和品质,缩短产品研发周期,降低设计成本。

二、电子EDA的主要技术和应用1. 电子EDA的主要技术电子EDA的主要技术包括:原理图设计、电路仿真、PCB设计、射频集成电路设计、封装设计、设计规约与强制约束等。

这些技术为电子设计提供了全方位的支持,帮助设计者快速、准确地完成电子电路的设计。

2. 电子EDA的应用领域电子EDA广泛应用于各种电子产品的设计与制造,例如消费类电子产品、通信设备、汽车电子、工业控制、医疗器械等。

此外,电子EDA还在教育和科研领域得到广泛应用,为学生和研究人员提供了设计、仿真、验证等方面的工具支持。

三、电子EDA的关键技术和方法1. 原理图设计原理图是电子电路设计的基础,原理图设计工具提供了方便快捷的方式来创建和编辑电路图。

在原理图设计过程中,设计者可以选择合适的元件进行设计,建立电路连接关系,进行布线以及进行一些基本的参数设置。

EDA工具提供了丰富的元件库,设计者可以根据需要选择合适的元件进行设计。

2. 电路仿真电路仿真是电子EDA中非常重要的一环,它可以帮助设计者在没有实际硬件的情况下,通过计算机模拟(仿真)电路的工作情况。

电路仿真可以帮助设计者评估电路的性能、稳定性和可靠性,找出问题并做出改进。

常见的电路仿真工具有OrCAD,Proteus,Multisim等。

3. PCB设计PCB设计是电子产品开发中非常重要的一环,PCB设计工具可以将原理图转化为实际的电路板。

PCB设计包括布线、阻抗匹配、信号完整性分析、EMI/EMC分析等方面。

现在的PCB设计工具能够提供非常直观、可靠、高效的设计方案。

4. 射频集成电路设计射频集成电路是电子产品中非常重要的一部分,射频集成电路设计要求对高频、微波、毫米波等射频电路进行设计、仿真、布局、布线等。

EDA复习资料

EDA复习资料

EDA复习基础知识要点1.EDA的概念EDA(电子设计自动化)是现代电子设计技术的核心。

EDA就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、化简、分割、综合优化仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子线路的功能。

2.EDA的发展阶段CAD是EDA技术发展的早期阶段,此阶段仅仅使用计算机进行辅助绘图工作。

CAE是在CAD的工具逐步完善的基础上发展起来的,它开始用计算机将许多单点工具集成在一起使用。

20世纪90年代电子技术的飞速发展促使现在的EDA技术的形成。

出现了EDA设计的概念,并发展至今天。

3.EDA设计流程①设计准备②设计输入③设计处理④设计校验⑤器件编程⑥器件验证4.设计输入的三种方式①原理图方式②文本输入方式③波形输入方式5.设计处理的步骤①设计编译和检查(信号线有无漏接,信号有无双重来源,关键词有无错误)②优化设计和综合③适配和分割④布局和布线⑤生成编程数据文件6.常用对应的后缀名①原理图文件.bdf②VHDL语言文件.vhd③Verilog HDL文件.v④仿真波形文件.vwf7.可编程逻辑器件的分类①按集成密度分类可编程逻辑器件从集成密度上可分为低密度可编程逻辑器件LDPLD和高密度可编程逻辑器件HDPLD两类。

LDPLD 通常是指早期发展起来的、集成密度小于1000门/片左右的PLD如ROM、PLA、PAL和GAL等。

HDPLD包括可擦除可编程逻辑器件EPLD(Erasable Programmable Logic Device)、复杂可编程逻辑器件CPLD(Complex PLD)和FPGA三种,其集成密度大于1000门/片。

如Altera公司的EPM9560,其密度为12000门/片,Lattice公司的pLSI/ispLSI3320为14000门/片等。

eda复习资料

eda复习资料

路级的转化, 而 Verilog 语言和 ABEL语言源程序的综合经过 RTL级→门电路级的转化。 (4) 对 综合器的要求: VHDL 描述语言层次较高,不易控制底层电路,因而对综合器的性能要求较 高, Verilog 和 ABEL对综合器的性能要求较低。
3、 VHDL 的特点: VHDL 主要用于描述数字系统的结构、行为、功能和接口。 (1) 与其他的硬件描述语言相比, VHDL 具有更强的行为描述能力。 (2) VHDL 具有丰富的仿真语句和库函数。 (3) 用 VHDL完成一个确定的设计, 可以利用 EDA工具进行逻辑综合和优化, 描述设计转变成门级网表。
将设计下载到对应的实际器件中, 实现硬件
6 、 EDA 的设计流程:设计输入(将设计的系统或电路按照 图形方式表示出来,并送入计算机的过程。 )
EDA 开发软件要求的文本方式或
→综合(由高层次描述自动转换为低层次描述的过程,是
EDA技术的核心。 )
→适配(将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作。
语言和 ABEL语言是一种较低级的描述语言,适用于 RTL级和门电路级的描述,最适于描述
门级电路。 (2) 设计要求: VHDL 进行电子系统设计时可以不了解电路的结构细节,设计者 所做的工作较少; Verilog 和 ABEL语言进行电子系统设计时需了解电路的结构细节,设计者 需做大量的工作。 (3) 综合过程: VHDL 语言源程序的综合通常要经过行为级→ RTL级→门电
层次缩进格式:同一层次的对齐,低层次的较高层次的缩进两个字符。
各个源程序文件的命名均与其实体名一致。保存的位置一定不能放在根目录下。
注意: 实体名实际上是器件名,最好用相应功能来确定,如
counter4b, adder8b。注意不

EDA复习资料整理版

EDA复习资料整理版

答案由个人整理,难免有错,仅供参考!!!一、单项选择题:上课讲到的其它知识点,如变量与信号的含义;进程的含义;eda开放流程等;有两三道可能有一定难度。

二、名词解析:ASIC:专用集成电路、FPGA:现场可编程门阵列、IP:知识产权核或知识产权模块、JTAG:联合测试行动组、HDL:硬件描述语言、SOPC:可编程片上系统、PCB:(Process Control Block)进程控制块、RTL:寄存器传输级、LPM:可设置模块库、CPLD:复杂可编程逻辑器件、FSM:有限状态机三、简答题:课本第一、二章后的简答题;另要明白自顶向下设计方法的优缺点。

简答题:第一章的:1-2、1-4、1-5以及“要明白自顶向下设计方法的优缺点”有可能会考,已经去除了两道题目。

第二章的:2-2、2-4(已经去除三道题目)PS:以上信息是在EDA课程答疑时,老师透露出来的,没有在的题目肯定不会考。

答案自己在书上找,by xw.四、VHDL程序改错题:主要偏重语法。

五、编程器:组合逻辑电路:38译码和83编码38译码:library ieee;use ieee.std_logic_1164.all;entity DECODER isPORT(A,B,C: IN STD_LOGIC;Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));end DECODER;architecture A of DECODER isSIGNAL INDATA :STD_LOGIC_VECTOR(2 DOWNTO 0);BEGININDATA<=C&B&A;PROCESS(INDATA)BEGINCASE INDATA ISWHEN "000"=>Y<="00000001";WHEN "001"=>Y<="00000010";WHEN "010"=>Y<="00000100";WHEN "011"=>Y<="00001000";WHEN "100"=>Y<="00010000";WHEN "101"=>Y<="00100000";WHEN "110"=>Y<="01000000";WHEN "111"=>Y<="10000000";WHEN OTHERS=>Y<="00000000";END CASE;END PROCESS;end A;83编码library ieee;use ieee.std_logic_1164.all;entity encode isport(d: in std_logic_vector(7 downto 0);ein : in std_logic;a0n,a1n,a2n,gsn,eon : out std_logic);end encode;architecture behav of encode issignal q : std_logic_vector(2 downto 0);begina0n<=q(0);a1n<=q(1);a2n<=q(2);process(d)beginif ein='1' thenq<="111";gsn<='1';eon<='1';elsif d(7)='0' thenq<="000";gsn<='0';eon<='1';elsif d(6)='0' thenq<="001";gsn<='0';eon<='1';elsif d(5)='0' thenq<="010";gsn<='0';eon<='1';elsif d(4)='0' thenq<="011";gsn<='0';eon<='1';elsif d(3)='0' thenq<="100";gsn<='0';eon<='1';elsif d(2)='0' thenq<="101";gsn<='0';eon<='1';elsif d(1)='0' thenq<="110";gsn<='0';eon<='1';elsif d(0)='0' thenq<="111";gsn<='0';eon<='1';elsif d="11111111" thenq<="111";gsn<='1';eon<='0';end if;end process;end behav;时序逻辑电路:同步计数器与异步计数器(要明白两种计数器的差异)同步计数器:LIBRARY IEEE;--带时钟使能的同步4位二进制减法计数器;use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY count ISPORT(clk,clr,en : IN STD_LOGIC;qa,qb,qc,qd : OUT STD_LOGIC);END count;ARCHITECTURE behav OF count ISSIGNAL count_4 : STD_LOGIC_vector(3 DOWNTO 0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS (clk,clr)BEGINIF(clk'EVENT AND clk ='1') THENIF(clr='1') THENCount_4<="0000";ELSIF(en='1') THENIF(count_4="0000") THENcount_4<="1111";ELSEcount_4<=count_4-'1';END IF;END IF;END IF;END PROCESS;END behav;异步计数器LIBRARY IEEE; --带时钟使能的异步4位二进制加法计数器use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY countA ISPORT(clk,clr,en : IN STD_LOGIC;qa,qb,qc,qd : OUT STD_LOGIC);END countA;ARCHITECTURE example OF countA ISSIGNAL count_4 : STD_LOGIC_vector(3 DOWNTO 0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS (clk,clr)BEGINIF(clr='1') THENCount_4<="0000";ELSIF(clk'EVENT AND clk = '1' ) THENIF(en='1') THENIF(count_4="1111") THENcount_4<="0000";ELSEcount_4<=count_4+'1';END IF;END IF;END IF;END PROCESS;END example;:有限状态机:ppt上的两个图,moore和mealy机(要分清两种类型)看ppt,ppt上的应该是mealy机。

电子eda复习资料(五邑大学)eda的概念.docx

电子eda复习资料(五邑大学)eda的概念.docx

一、EDA的概念1、定义:电子(系统)设计的自动化,或电子线路或系统的计算机辅助设计。

是基于计算机平台的一整套先进的设计电子系统的软件工具。

2、研究对象:电子电路与系统设计的全过程:低频、高频、微波电路、线性与非线性电路、模拟和数字电路、分离电路和集成电路。

3、三个层次:设计的层次系统级;电路级;物理实现级。

4、EDA技术发展的三个阶段>CAD阶段(70s): EDA的初级阶段。

利用功能有限的计算机进行简单的电路性能分析和预测,PCB的计算机辅助布局布线,如smart work。

>CAE阶段(80s): CAD工具逐步完善和发展,将许多单点工具集成在一起使用,大大提高了效率。

如ORCAD, PROTEL, PSPICE 等;aEDA阶段(90s):超大规模集成电路时代,集成电路工艺水平达到深亚微米,一个芯片可集成上千万个晶体管,速度达giga bit/s,对电子设计的工具提出了更高的要求,同时也促进了设计工具的发展。

出现了众多的ICCAD工具,如CADENCE, MENTOR GRAPHICS, SYNOPSIS等著名公司的EDA软件;中国的熊猫系统等。

5、现代EDA技术的特点1 )采用硬件描述语言(HDL)。

具有如下突出优点:语言的公开性和可利用性、设计与工艺无关、宽范围的描述能力、便于大规模系统设计和设计的可复用、交流、保存、修改;2)高层综合和优化。

开发工具支持系统级的综合和仿真,可更好地支持自上而下的设计方法;3 )并行工程。

系统化的、集成化的、并行的产品及相关过程的开发模式,支持多人同时并行进行设计工作。

4)开放性和标准化。

EDA工具的相互兼容,有利于资源共享。

6、设计方法自上而下的设计设计需要经过“设计一验证一修改一再验证”的过程。

优点:对复杂系统通盘考虑,合理划分和优化,是目前主流的设计方法。

/ 正向设计:由概念到产品的设计过程,自上而下的芯片设计。

如右图所示。

/ 反向设计:剖析别人已有设计,由版图得到原理图、功能和工作原理,再转入正向设计的方法。

EDA复习资料

EDA复习资料

EDA复习题写出下列各英文缩写所代表的英文全称和中文名称FPGA现场可编程门阵列(Field-Programmable Gate Array)EDA 电子设计自动化(Electronic Design Automation)PLD 可编程逻辑器件(programmable logic device)ASIC专用集成电路(Application Specific Integrated Circuit)VLSI超大规模集成电路(Very Large Scale Integration)OLMC 输出逻辑宏单元(output logic macro cell )RTL 寄存器传输(register transfer level)PAL可编程阵列逻辑(Programmable Array Logic)LUT 查找表(Look-Up-Table)SRAM 静态随机存储器(Static RAM)GAL 通用阵列逻辑(generic array logic)JTAG 联合测试工作组(Joint Test Action Group)简答题1.信号与变量的区别答:信号是全局变量;对它的赋值有一定的延迟(延迟为一个时钟周期);可以作为进程的敏感信号;信号赋值符号:“<=”。

而变量则是局部变量,它只能在子程序和进程中使用,对它的赋值没有延迟;不能作为敏感信号;变量赋值符号“:=”。

2.简述图形编辑中模块间的连线有哪三种形式答:节点线、总线和管道线3.简述什么是软件IP答:用VHDL. Verilog HDL等硬件描述语言描述的功能模块,是与具体实现的工艺无关的IP核。

以源文件形式出现,可修改跟扩展。

4.指出JTAG的用途答:首先,将SOF文件转化成JTAG间接配置文件,再通过FPGA的JTAG口,将此文件载入FPGA中,并利用FPGA中固有的对EPCS器件配置的结构向该器件进行编程.5.WHEN_ELSE条件信号赋值语句和 IF_ELSE顺序语句的异同答:异:WHEN_ELSE条件信号赋值语句中无标点,句末无符号;必须成对出现;是并行语句,必须放在结构体中。

EDA复习资料

EDA复习资料

本大题得分评阅人一、填空题(本大题共10小题,每空1分,共20分)1.一般把EDA技术的发展分为 MOS时代、 CMOS时代和 ASIC 三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、 HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。

7.以EDA方式设计实现的电路设计文件,最终可以编程下载到 FPGA 和 CPLD 芯片中,完成硬件设计和验证。

8.MAX+PLUS的文本文件类型是(后缀名) .VHD 。

9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译本大题得分评阅人二、选择题:(本大题共5小题,每小题3分,共15分)11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器B.综合器C.适配器D.下载器12.在执行MAX+PLUSⅡ的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。

A .Create default symbol B. SimulatorC. CompilerD.Timing Analyzer13.VHDL常用的库是(A )A. IEEEB.STDC. WORKD. PACKAGE14.下面既是并行语句又是串行语句的是( C )A.变量赋值B.信号赋值C.PROCESS语句D.WHEN…ELSE语句15.在VHDL中,用语句(D )表示clock的下降沿。

A. clock’EVENTB. clock’EVENT AND clock=’1’C. clock=’0’D. clock’EVENT AND clock=’0’VHDL和FPGA: 超高速硬件描述语言现场可编程门阵列一、单项选择题:(20分)1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。

eda复习资料整理

eda复习资料整理

名词解释“ASIC(application specific integrated circuit)专用集成电路SOC(system on a chip)单片电子系统EDA(electronic design automation)电子设计自动化HDL(hardware description language)硬件描述语言FPGA(field programmable gate array)现场可编程门阵列CPLD(complex programmable logic device)现场可编程逻辑器件CBIC(cell based integrated circuits)基于单元的集成电路IP(intellectual property)知识产权RTL(register transport level 寄存传输级PROM(programmable read only memory 可编程序的只读存储器PIA(programmable interconnect array 可编程直线阵LUT(look up table 查找表PLD(programmable logic devices 可编程逻辑器件填空1、正确的基于EDA软件的FPGA / CPLD设计流程:原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试。

2、VHDL程序的5个组成部分分别为:实体(Entity)、构造体(Architecture)、配置(Configuration)、包集合(Package)、库(Library)。

3、CPLD的可编程是主要基于什么结构:可编程与或阵列。

4、VHDL描述行为的语句中有并行语句和顺序语句之分。

只能当顺序语句使用的描述语句有很多,试列举出其中三种:IF语句、CASE语句、FOR循环语句(或:WHILE循环语句、无条件循环LOOP语句)。

5、IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:软IP 核(或软核)。

EDA复习

EDA复习

1.集成电路设计包括电路设计和版图设计两方面2.现代电子设计技术的核心是EDA (Electronic Design Automation )技术。

3.EDA 技术的发展分为CAD 、CAE 和EDA 三个阶段。

4.CAD (Computer Aided Design )是EDA 技术发展的早期阶段; CAE (Computer Aided Engineering )计算机辅助工程,CAE 是指工程设计中的计算机辅助分析计算与辅助分析仿真。

EDA 技术已经成为电子设计的重要工具5.6.设计输入形式:文本方式设计输入方式 图形设计输入方式文本、图形两者混合的设计输入方式。

7.设计处理主要包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线、生成编程数据文件等过程。

设计校验过程包括功能仿真和时序仿真;8.硬件描述语言HDL 是EDA 技术中的重要组成部分,常用的硬件描述语言有AHDL 、VHDL 和Verilog HDL ,而VHDL 和V erilog HDL 是当前最流行的并成为IEEE 标准的硬件描述语言。

9.AHDL (LAltera Hardware Description Language )是Altera 公司10. 可编程逻辑器件PLD (Programmable Logic Device )是一种半定制集成电路,在其内部集成了大量的门和触发器等基本逻辑电路11.*“积木” 式:对电路板进行设计,通过标准集成电路器件搭建成电路板来实现系统功能,即先由器件搭成电路板,再由电路板搭成系统。

数字系统的“积木块”就是具有固定功能的标准集成电路器件。

设计中,设计者没有灵活性可言,搭成的系统需要的芯片种类多且数目大。

12.*“自底向上”(Bottom-Up ):它可以直接通过设计PLD 芯片来实现数字系统功能,将原来由电路板设计完成的大部分工作放在PLD 芯片的设计中进行。

根据实际情况和要求定义器件的内部逻辑关系和管脚,这样可通过芯片设计实现多种数字系统功能;减少芯片数量,缩小系统体积,降低能源消耗,提高系统的稳定性和可靠性13.“自顶向下”(T op-Down ):首先从整体上规划整个系统的功能和性能, 然后对系统进行划分, 分解为规模较小、 功能较为简单的局部模块, 并确立它们之间的相互关系, 这种划分过程可以不断地进行下去, 直到划分得到的单元可以映射到物理实现。

EDA复习(

EDA复习(

第一部分基本概念一、填空题型(1)CPLD是复杂的可编程逻辑器件的缩写。

(2)FPGA是现场可编程门阵列的缩写。

(3)CPLD的基本结构看成由可编程逻辑宏单元、可编程I/O控制模块和可编程内部连线等三部分组成。

(4)图形文件的扩展名是GDF ,仿真通道文件的扩展名是SCF,波形文件的扩展名是WDF,使用VHDL语言,文本设计文件的扩展名是.VHD 。

(5)基于可编程器件EDA技术主要包括四大要素,分别为大规模可编程器件、硬件描述语言、软件开发系统、实验开发系统。

(6)MAX+PLUSII支持的设计输入方法有图形输入,波形输入,文本输入。

(7)元件例化语句的作用:层次设计,由元件声明和元件例化两部分组成。

(8)EDA数字系统工程设计流程包括:设计准备、设计输入、设计实现、器件编程与配置、设计验证。

(9)CPLD中的逻辑单元是大单元,采用集总总线互连方式;FPGA的逻辑单元是小单元,采用分段式互连方式。

(10) 结构体包括三种描述方法:结构体的行为描述、结构体的数据流描述、结构体的结构化描述。

(11)硬件描述语言HDL给数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的自顶向下的设计方法。

(12)变量赋值语句的语法格式:目标变量名:=表达式,信号赋值语句的语法格式:目标信号名<= 表达式。

(13) 下列标准数据类型各值的含义:‘0’_强0__、‘1’_强1_、‘Z’高阻态、‘L’_弱0__、‘H’__弱1__、‘-’_忽略___。

(14) CPLD是基于乘积项的可编程结构,即由可编程的与阵列和固定的或阵列来完成功能。

而FPGA采用查找表LUT结构的可编程结构。

(15)硬件描述语言(HDL) 是EDA技术的重要组成部分,是电子系统硬件行为描述、结构描述、数据流描述的语言。

它的种类很多,如VHDL 、Verilog HDL 、AHDL 。

(16)VHDL的基本描述语句包括一系列顺序语句和并行语句两大基本描述语句。

EDA复习资料

EDA复习资料

第一部分:选择题1.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是 A 。

A. 器件外部特性;B. 器件的内部功能;C. 器件的综合约束;D. 器件外部特性与内部功能。

2.一个项目的输入输出端口是定义在 A 。

A. 实体中B. 结构体中C. 任何位置D. 进程体3.MAXPLUSII中编译VHDL源程序时要求 C 。

A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定4.符合1987VHDL标准的标识符是 A 。

A. a_2_3B. a_____2C. 2_2_aD. 2a5.不符合1987VHDL标准的标识符是 C 。

A. a_1_inB. a_in_2C. 2_aD. asd_16.1987标准的VHDL语言对大小写是 D 。

A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感7.变量和信号的描述正确的是 B 。

A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别8.对于信号和变量的说法,哪一个是不正确的: A 。

A.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样9.下列关于信号的说法不正确的是 C 。

A . 信号相当于器件内部的一个数据暂存节点。

B. 信号的端口模式不必定义,它的数据既可以流进,也可以流出。

C. 在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。

D. 信号在整个结构体内的任何地方都能适用。

10.在VHDL中 D 不能将信息带出对它定义的当前设计单元。

A. 信号B. 常量C. 数据D. 变量11.可以不必声明而直接引用的数据类型是 C 。

A. STD_LOGICB. STD_LOGIC_VECTORC. BITD. 前面三个答案都是错误的12.STD_LOGIG_1164中定义的高阻是字符 D 。

EDA复习资料

EDA复习资料

一、掌握书上勾画的知识点,会出填空题ASIC:专用集成电路SOC:单片电子系统EDA:电子设计自动化HDL:硬件描述语言FPGA:现场可编程门阵列CPLD:复杂可编程逻辑器件SOPC:片上可编程系统CAD:计算机辅助技术CAM:计算机辅助制造CAT:计算机辅助测试CAE:计算机辅助工程IP:自主知识产权1)目前常用的HDL主要有VHDL、Verilog HDL、SystemVerilog和SystemC2)综合:把抽象的实体结合成单个或统一的实体。

3)传统的电子设计技术是自底向上的,当前是自顶向下的。

4)设计输入,逻辑综合,适配(结构综合),功能仿真与时序仿真,编程下载和硬件测试。

5)集成化EDA开发工具Altera的Quartus Ⅱ、Xilinx的ISE6)常用的HDL仿真器ModelSim7)IP核包括软IP、固IP、硬IP8)可编程逻辑器件的演变过程:20世纪70年代,PROM、PLA;20世纪70年代末,PAL; 20世纪80年代初,Lattice发明GAL;20世纪80年代中期,Xilinx生产FPGA,同期Altera 推出EPLD; 20世纪80年代末,Lattice提出CPLD;20世纪90年代后,SOPC,FPGA(更加瞩目)9)PLD器件从“与—或”阵列和门阵列两类基本结构发展起来,从结构上分两大类:1)乘积项结构器件,其基本结构为“与-或”阵列;2)基于查找表结构的器件10)与posedge CLK对应的还有negedge CLK,这是时钟下降沿敏感的表达11)assgin引导的语句属于并行语句,always语句属于并行语句,内部引导顺序结构12)if语句具有优先级,case并列平等13)从状态机的信号输出方式上分为Moore和Mealy,状态机结构中通常都包含了说明部分、主控时序过程、主控组合过程、辅助过程14)数据类型是Verilog用来表示数字电路硬件中的物理连线、数据存储对象和传输单元,包括网络类型(net)、寄存器类型(register)、存储器类型。

EDA复习资料【排版】.docx

EDA复习资料【排版】.docx

类型 与 或 输出方式 PROM 固定 可编程 TS 、0C PLA 可编程 可编程 TS> OCH 、L PAL 可编程固定 TS 、I/O 、寄存器 GAL可编程 固定可编程(用户定义)十二、ISP 状态机的三个状态:闲置、移位态、执行态 1・简述用EDA 技术设计电路的设计流程?舔;⑵设+输入(包扌舌图形输入)文本输入、波形输入方式)③设计处理(编译检查,优化综合,适配 分割,布h 布纟戈)①述④设计校验⑤器件编程⑥器件测试和设计验证。

(④⑥即功能仿真,时序仿真,器件测试)、财输與的轉块及各个模块的功能 斓瞬密编辑鎌也仔耳件)②仿真器(对设计的程序进行模拟检测)③HDL 综合器(将硬件描 谊斛抵遊瞎何电器亠④适哉讥螯成在目标系统器件上的布局布线)⑤下载器(把电路设计结果下载到实际 器備,实现硬件设计) 三、VHDLjjS.il 流程①綢辑V N DL 源程序②设计所需电路的顶层文件③编译顶层设计文件④仿真XXX ⑤下载XXX 四 也 电 、輙懺计 称雳化自鷹侖上(例他冋M 鈿 吹币方魅序射瘵一个大的设计项目分解为若干个子项目或若干个层次來完成。

先从底层的 后在窩T 次的设计中詁级调用低层次的结果,直至最后系统电路实现。

艮计)①先用两个半加器和一个与门连接成1位全加器。

形成全加器文件(包含顶层设计文件 f_ad,和庸层文件半加器f qd )②用4个全加器及输入输出组成4位加法器(4位xxx 是顶层文件) vF :五、諭时'沌 其味用功半价割胡方法,曲向下逐次将I 设计内容进行分块和细化。

其中层次化设计是分层次、分模块地进行设 计苗述T 事述器件世、功能的模块置于最上层,称为顶层设计;描述器件某一部分功能的放在下层,称为底层设计。

还 UT WH J W层。

器件测试六、原理图输入设计法的基本操作①编辑原理图②编译设计文件③生成元件符号④功能仿真⑤引脚锁定⑥吋序仿真⑦变成下载⑧硬件调试 七、Altera 公司的器件编程一般有哪几种硬件连接方式,每一种方式又有哪几种下载模式? ©ByteBlaster 并行下载电缆②BxxxMV ③BiteBlaster 串行下载电缆④MasterBlaster 串行/USB 电缆每种方式F 又有①PS (被动串行模式)②JTAG 模式③PPS 被动并行同步④PPA 被动并行异步⑤被动串行异步PSA ⑥配置器件模式八、常见的可编程逻辑器件的编程和配置工艺①一次性编程或反熔断丝,如PROM, RAL ②基于电擦除、电可编程,如E'pROM 或Flash (CPLD 多使用)③采用 紫外线擦除,如#£卩只0“④基于静态存储器SRAM (多数FPGA 使用) 九、在系统可编程技术的实现方法①利用计算机接口和下载电缆对器件编程②利用目标板上的单片机或微处理器对ISP 器件编程③多芯片编程 十、简单的PLD 结构1^一、四和PLD 电路的结构特点用VHDL设计数字逻辑系统包括哪些主要设计流程?3.简述PLD的发展状况。

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)

EDA技术复习资料一、填空1、EDA设计流程包括设计准备、设计输入、设计处理、和器件编程序四个步骤。

2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。

3、EDA的设计输入主要包括文本输入方式、图形输入方式、和波形输入方式。

4、文本输入是指采用硬件描述语言进行电路设计的方式。

5、功能仿真实在设计输入完成以后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。

6、时序仿真实在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又被称为后仿真或延时仿真。

7、当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、和VERILOG HDL。

8、EDA工具大致分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。

9、IEEE于1987年将VHDL采纳为IEEE#1076标准。

10、用VHDL语言书写的源文件。

即是程序又是文档,即是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。

11、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能快独立存在和独立运行。

12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。

13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。

14、根据VHDL语法规则,在程序中使用的文字、数据对象、数据类型都需要预先定义。

15、VHDL的实体由实体声明部分和结构体组成。

16、VHDL的实体声明部分制订了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。

17、VDHL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

18、在VHDL的端口声明语句中,端口方向包括IN、OUT、INOUT和BUFFER。

19、VHDL的数据型文字包括整数文字、实数文字、以数制基数表示的文字和物理量文字。

EDA复习资料

EDA复习资料

一、名词术语解释(约20%)第1章概述第2章 EDA设计流程及其工具第3章 FPGA/CPLD结构与应用EDA Electronic Design Automation电子设计自动化;ASIC Application Specific Integrated Circuit专用集成电路;VHDL Very High Speed Integrated Circuit Hardware Description Language 高速集成电路硬件描述语言;SRAM Static Random Access Memory 静态随机存储器;CPLD Complex Programmable Logic Device复杂可编程逻辑器件;HDL Hardware Description Language 硬件描述语言;SOPC System On a Programmable Chip 可编程芯片系统;PROM Programmable Read Only Memory可编程只读存储器;LUT Look Up Table 可编程的查找表;FPGA Field Programmable Gate Array 现场可编辑门阵列IP Intellectual Property 知识产权核;CPU Central Processing Unit 中央处理器SOC System On a Chip 单片电子系统IEEE Institute of Electrical and Electronics Engineers 电机工程师协会CAD CAM CAT CAE Computer Aided Design/Manufacture/Test/Engineering 计算机辅助设计/制造/测试/工程技术PCB Printed Circuit Board 印刷电路板LAB Logic Array Block 逻辑阵列块PGA Programmable Gate Array 可编程门阵列;PLD Programmable Logic Device 可编程逻辑器件PLA Programmable Logic Array 可编程逻辑阵列PAL Programmable Array Logic 可编程阵列逻辑GAL Generic Array Logic 通用阵列逻辑RTL Register Transport Level 寄存器传输级LE/LC Logic Element/Cell 逻辑元二、回答问题(约10%)(1)第5章 VHDL设计进阶 5.3 数据对象(信号与变量的异同点)信号SIGNAL 变量VARIABLE基本用法用于作为电路中的信号连线用于作为进程中局部数据存储单元适用范围在整个结构体内的任何地方都能适用只能在所定义的进程中使用行为特性在进程的最后才对信号赋值立即赋值异:(1)使用和定义范围:前者是实体,结构体和程序包;后者仅限于定义了变量的进程或子程序的顺序语句。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一、选择题(共10题,每题2分,共20分)
1、Protel 99 SE 绘图区域放大的快捷键为( B )。

A、Page Down
B、Page Up
C、Home
D、End
2、Multisim10 创建一个新的电路文件,系统自动产生的文件名为( C )。

A、Circuit 1
B、MyDesign.ddb
C、Sheet1.Sch
D、PCB1.PCB
3、Multisim10提供的电流表和电压表存放在( D )中。

A、电源库
B、基本元件库
C、TTL器件库
D、指示器件库
4、为了方便布线,有时需要对元件进行旋转,用鼠标按住元件不放,再按( C )
使元件逆时针旋转90。

A、X键
B、Y键
C、空格键
D、回车键
5、常用的电阻、电容、开关等元件存放在( B)中。

A、电源库
B、基本元件库
C、TTL器件库
D、指示器件库
6、Protel 99 SE 中元器件悬浮状态下,按下(A),打开其属性设置。

A、Tab
B、Shift
C、Ctrl
D、Alt
7、有极性电容类元件的封装为( C )。

A、TO-5
B、RB.2/.4
C、RAD0.4
D、DIODE0.4
8、画好原理图文件,执行(D)菜单下的ERC命令,进行电气规则检查。

A、编辑
B、视图
C、设计
D、工具
9、PCB中,下列封装为可变电阻的是( A )
A、AXIAL0.3
B、RAD0.4
C、VR3
D、TO-5
10、印制电路板中,表层线路和内层线路的连接使用( A)。

A、盲孔
B、埋孔
C、通孔
D、过孔
二、填空题(共9题,每空1分,共22分)
1、集成计数器的级连方式有同步计数方式、异步计数方式、反馈计数方式。

2、网络表文件大致可分成两大部分,第一部分为元器件声明,第二部分为网络的定义。

3、元器件故障模拟设置有开路、短路、漏阻三种。

4、波特图仪用来分析电路频率响应,包括幅频特性和相频特性。

5、Multisim10的TTL器件库含有74LS和74STD两个系列的TTL数字集成逻辑器件。

6、Protel 99 SE 将各种文档都存在一个设计数据库文件中,进行统一管理。

7、Multisim10提供的数字万用表外观和操作与实际的万用表相似,可以测量电流、电压、电阻和分贝。

8、 Multisim10提供的函数发生器可以产生正弦波、三角波、矩形波三种波形。

9、直流工作点分析也称静态工作点分析,是在电路中电容开路,电感
短路的情况下,计算电路的直流工作点。

三、判断题(共8题,每题1分,共8分)
1、EDA是电子设计自动化的简称,是在计算机辅助设计(CAD)技术的基础上发展起来的计算机设计软件系统。

(√)
2、Multisim10中,欲对界面进行永久设置只须在电路窗口空白处单击鼠标右键就可以设置了。

(×)
3、由于我国的电气标准与欧洲标准相近,故选择ANSI较好。

(×)
4、双踪示波器的端子G仿真时应接地,如电路中已有接地点,该端也可以悬空。

(×)
5、字信号发生器能产生32位并行数字信号,实际使用中如不需32位,应从最高位用起。

(×)
6、原理图主要是用于制作、编辑、检查和打印符合电气设计方案的信息,包括图纸、图表和设计数据文件。

(√)
7、自顶向下设计层次原理图就是先建立一张总图,在总图中,用方块电路代表它下一层的子电路。

(√)
8、ERC报告是电路自动布线的灵魂,也是原理图设计和印制电路板PCB设计的接口。

()
四、简答题(共5题,每题10分,共50分)
1、印制电路板设计流程
1)绘制电路图 2)规划电路板 3)装入网络表及PCB元器件库
4)元器件的布局 5)布线 6)文档的保存及输出
2、以自上而下的设计方法为例,说明层次原理图的设计步骤
首先,进入原理图设计系统,并打开画原理图工具栏。

其次,绘制主图,定义各个模块。

即在原理图设计图纸上绘制子图符号,每个子图符号代表一个模块。

最后,绘制好主图后,开始进入各个具体的子图,进行子系统原理图设计。

3、Protel 99 SE中,Clear命令和Delete命令的区别
Clear命令的功能是删除已选中的元器件。

执行Clear命令之前先要选中某元器件。

Delete命令的功能也是删除元器件,只是在执行Delete命令之前不需要选中元器件,只要在启动Delete命令之后,将鼠标移动到所要删除的元器件上单击鼠标左键,即可将元器件删除。

4、用Multisim10设计一个三人表决电路,写出电路设计过程
1)逻辑变量设置。

2)打开逻辑转换仪面板,在真值表区单击 A B C 三个逻辑变量建立一个三变量真值表,根据逻辑控制要求在真值表区输出变量列中填入相应逻辑值。

3)单击逻辑转换仪面板上的“真值表→简化逻辑表达式”按钮,求得简化的逻辑表达式。

4)单击逻辑转换仪面板上的“表达式→与非门”按钮,自动产生的由与非门构成的逻辑电路图。

5)逻辑功能测试。

5、在Multisim10中对电路进行仿真测试的步骤
1)电路工作窗口设置训练 2)调用和设置元器件训练 3)元器件布局 4)线路连接 5)设置元器件特性 6)调用仿真仪表 7)静态仿真测试 8)动态仿真测试。

相关文档
最新文档