EDA技术课程设计之六位频率计的设计
EDA设计 六位频率计的设计 精品
EDA技术课程设计报告六位频率计的设计一概述1.1设计背景及意义技术是以大规模为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计,通过有关的开发软件,自动完成用软件设计的系统到硬件系统的设计,最终形成集成电子系统或专用的一门新技术。
其设计的灵活性使得EDA技术得以快速发展和广泛应用。
在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。
由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。
因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。
频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。
在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。
在计算机及各种数字仪表中,都得到了广泛的应用。
在CMOS电路系列产品中,数字频率计时量程最大、品种很多的产品,是计算机、通讯设备、音频视频的科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系。
因此,频率的测量就显得更为重要。
本设计设计6位频率计,以触发器和计数器为核心,由信号输入、触发、计数、数据处理和数据显示等功能模块组成。
本次采用QuartusII的宏元件和VHDL 语言设计两种方法来设计6位频率计,提高了测量频率的范围。
1.2设计任务与要求1.21设计任务:采用原理图设计并制作六位十进制频率计,用VHDL语言方法设计并制作六位十六进制频率计。
1.22设计要求:a)参考信号频率为1Hz;b)测量频率范围:六位十进制频率计:1Hz~100kHz;六位十六进制频率计:1Hz~4MHz;c)结果能用数码显示器显示二六位频率计的工作原理2.1频率计的设计框图数字频率计的关键组成部分包括测频控制、、锁存器、译码驱动和显示电路,其原理框图如图1所示。
EDA数字频率计课程设计
目录1、前言........................................................................................................... - 2 -1.1 EDA技术介绍.............................................. - 2 -1.2 Verilog HDL简介.......................................... - 2 -1.3 数字频率计概述........................................... - 3 -2、总体方案设计 ............................................................................................ - 4 -2.1设计内容.................................................. - 4 -2.2设计方案比较.............................................. - 5 -2.3方案论证.................................................. - 6 -3、单元模块设计 ............................................................................................ - 7 -3.1 放大整形电路............................................. - 7 -3.2 时基电路................................................. - 8 -3.3 计数模块................................................. - 9 -3.4 分频模块 (13)3.5 门控模块 (15)3.6 锁存模块 (17)3.7 译码显示模块 (18)4、系统总体设计及调试 (20)4.1 顶层电路 (20)4.2 仿真及调试 (21)5、特殊器件的介绍 (22)5.1 CPLD器件介绍 (22)5.2 FPGA器件介绍 (22)5.3 EP1K30TC144器件介绍 (23)6、总结 (24)6.1设计小结 (24)6.2设计收获 (24)6.3设计改进 (24)6.4 致谢 (25)参考文献 (25)1、前言1.1EDA技术介绍EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
eda的频率计课程设计
eda的频率计课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握频率计的设计原理;2. 学生能描述频率计的工作原理,了解其主要组成部分;3. 学生能掌握频率计的电路设计方法,并了解其在实际应用中的重要性。
技能目标:1. 学生能运用所学知识,使用EDA软件进行频率计的电路设计;2. 学生能通过实验操作,搭建并调试频率计电路,提高实际动手能力;3. 学生能分析实验数据,解决频率计使用过程中出现的问题。
情感态度价值观目标:1. 学生对电子设计产生兴趣,培养创新意识和实践能力;2. 学生养成合作学习的习惯,提高团队协作能力;3. 学生认识到频率计在科技发展中的重要作用,增强社会责任感和使命感。
分析课程性质、学生特点和教学要求,本课程目标旨在使学生在掌握基本理论知识的基础上,通过实践操作,提高电子设计能力。
课程目标具体、可衡量,以便学生和教师能够清晰地了解课程的预期成果。
后续教学设计和评估将围绕这些具体学习成果展开。
二、教学内容本章节教学内容围绕以下三个方面进行选择和组织:1. 理论知识学习:- 电子设计自动化(EDA)基本概念及发展历程;- 频率计的工作原理及主要组成部分;- 频率计电路设计的基本方法。
教学内容关联课本第3章“电子设计自动化”及第4章“频率计的设计与应用”。
2. 实践操作环节:- 使用EDA软件进行频率计电路设计;- 搭建并调试频率计电路;- 分析实验数据,解决实际问题。
实践操作环节与课本第5章“实验与实训”相结合。
3. 教学大纲安排:- 第一周:学习EDA基本概念、发展历程,了解频率计的工作原理及主要组成部分;- 第二周:学习频率计电路设计方法,进行EDA软件操作训练;- 第三周:分组进行频率计电路设计,搭建和调试电路,分析实验数据。
教学内容具有科学性和系统性,确保学生在掌握理论知识的基础上,通过实践操作提高电子设计能力。
4. 教材章节及内容列举:- 第3章 电子设计自动化:3.1节、3.2节、3.3节;- 第4章 频率计的设计与应用:4.1节、4.2节、4.3节;- 第5章 实验与实训:5.1节、5.2节、5.3节。
eda频率计课程设计
eda频率计课程设计一、课程目标知识目标:1. 让学生理解EDA(电子设计自动化)的基本概念,掌握频率计的设计原理;2. 学会运用已学的电子元件和电路知识,设计并搭建一个简单的频率计;3. 掌握频率计在电子测量中的应用,了解其重要性和实际意义。
技能目标:1. 培养学生动手操作能力,能正确使用电子仪器和工具进行电路搭建;2. 提高学生问题解决能力,通过团队协作,设计和调试频率计电路;3. 培养学生运用EDA软件进行电路仿真和优化设计的能力。
情感态度价值观目标:1. 培养学生对电子设计的兴趣和热情,激发创新意识;2. 培养学生团队协作精神,学会倾听、沟通、分享和合作;3. 增强学生环保意识,了解电子产品的绿色设计和可持续发展。
分析课程性质、学生特点和教学要求,本课程将目标分解为以下具体学习成果:1. 学生能独立完成频率计电路的设计和搭建;2. 学生能运用EDA软件进行电路仿真,优化设计方案;3. 学生在团队协作中,发挥个人特长,共同解决问题;4. 学生通过课程学习,增强对电子设计领域的认识和兴趣,培养良好的情感态度价值观。
二、教学内容根据课程目标,教学内容主要包括以下几部分:1. EDA基本概念与频率计原理- 介绍EDA的基本概念、作用及其在电子设计中的应用;- 讲解频率计的工作原理、分类及其在电子测量中的重要性。
2. 电子元件与电路知识- 复习已学的电子元件(如电阻、电容、二极管、晶体管等)及其特性;- 梳理相关电路知识(如放大电路、滤波电路等)在频率计设计中的应用。
3. 频率计设计与搭建- 分析频率计电路的设计方法,引导学生运用所学知识进行设计;- 实践操作,指导学生正确搭建频率计电路,并进行调试。
4. EDA软件应用与电路仿真- 介绍EDA软件的基本功能,教授学生如何进行电路仿真和优化设计;- 指导学生运用EDA软件完成频率计电路的仿真,提高设计效率。
5. 团队协作与问题解决- 培养学生团队协作能力,分工合作完成频率计设计任务;- 引导学生学会分析问题、解决问题,提高实际操作能力。
eda课程设计 6位频率计
《 E D A 频率计》课程设计报告专业:班级:姓名:指导教师:年月日目录一、课程设计目的 (2)二、课程设计题目描述和要求 (2)三、课程设计报告内容 (2)四、总结 (8)附录 (9)参考书目 (10)引言在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更加重要。
数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。
随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL 等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。
一、课程设计目的熟悉各种软件如Quartus II 6.0的使用;通过EDA的试验设计,加深我们对FPGA的了解;熟悉FPGA的工作原理和试验环境,知道FPGA的开发流程;通过设计小型试验项目学会仿真和硬件测试的基本方法。
二、课程设计题目描述和要求2.1、课程设计题目描述1)设计一个能测量方波信号的频率的频率计。
2)测量的频率范围是1 999999Hz。
3)结果用十进制数显示。
4)按要求写好设计报告。
2.1、课程设计要求1)脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。
所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。
2)被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。
3)再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。
4)时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。
5)f=N/T,改变时基信号的周期T,即可得到不同的测频范围。
6)当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。
eda课程设计数字频率计
eda课程设计 数字频率计一、课程目标知识目标:1. 学生能够理解并掌握数字频率计的基本原理,包括频率的概念、测量方法及其在电子工程中的应用。
2. 学生能够运用所学知识,分析并识别EDA(电子设计自动化)软件中与数字频率计相关的元件和模块。
3. 学生能够运用电子元件搭建简单的数字频率计电路,并描述其工作过程。
技能目标:1. 学生能够运用EDA软件进行数字频率计电路的设计、仿真和调试,具备实际操作能力。
2. 学生能够通过小组合作,解决在数字频率计设计过程中遇到的技术问题,提高团队协作和问题解决能力。
情感态度价值观目标:1. 学生能够认识到数字频率计在电子工程领域的重要性和实际应用价值,激发对电子工程的兴趣和热情。
2. 学生在课程学习中,培养严谨的科学态度,注重实验数据的真实性和准确性。
3. 学生通过小组合作,学会尊重他人意见,培养良好的沟通能力和团队精神。
本课程针对高中年级学生,结合电子工程学科特点,强调理论与实践相结合,注重培养学生的动手操作能力和实际应用能力。
课程目标具体、可衡量,旨在帮助学生和教师在课程结束后,能够清晰地了解学生在知识、技能和情感态度价值观方面的预期成果。
同时,将课程目标分解为具体的学习成果,便于后续的教学设计和评估。
二、教学内容本章节教学内容依据课程目标,紧密围绕数字频率计的设计与实现,确保内容的科学性和系统性。
具体教学内容如下:1. 理论知识学习:- 频率概念及其测量方法- 数字频率计的原理与分类- EDA软件的基本操作与使用方法2. 实践操作环节:- 数字频率计电路设计原理- EDA软件中数字频率计电路搭建与仿真- 实际电路搭建与调试3. 教学大纲安排:- 第一课时:介绍频率概念、测量方法及数字频率计的原理与分类,让学生了解课程背景和目标。
- 第二课时:讲解EDA软件的基本操作与使用方法,引导学生学习并掌握软件应用。
- 第三课时:分析数字频率计电路设计原理,指导学生进行电路设计和仿真。
eda数字频率计课程设计
eda数字频率计课程设计一、课程目标知识目标:1. 让学生理解数字频率计的基本原理,掌握EDA工具的使用方法;2. 使学生掌握数字频率计的电路设计,包括计数器、时钟分频器等关键部分;3. 让学生掌握数字频率计的仿真与调试方法,了解其在实际应用中的限制和改进措施。
技能目标:1. 培养学生运用EDA工具进行数字电路设计和仿真的能力;2. 培养学生独立分析问题、解决问题的能力,能够根据实际需求调整和优化数字频率计的设计;3. 培养学生团队合作意识,提高沟通与协作能力。
情感态度价值观目标:1. 激发学生对电子设计的兴趣,培养创新意识和探索精神;2. 培养学生严谨的科学态度,注重实验数据的真实性,遵循实验操作规范;3. 引导学生关注我国电子产业的发展,增强民族自豪感和使命感。
课程性质:本课程为实践性较强的电子设计课程,旨在通过数字频率计的设计与实现,让学生掌握电子设计的基本方法和技能。
学生特点:学生已具备一定的电子基础知识,具有较强的学习能力和动手能力,但对EDA工具的使用和数字电路设计尚较陌生。
教学要求:教师需结合学生特点,注重理论与实践相结合,引导学生主动参与课堂讨论和实践活动,培养其独立思考和解决问题的能力。
通过课程学习,使学生能够达到预定的学习成果,为后续相关课程的学习打下坚实基础。
二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. 数字频率计原理介绍:使学生了解数字频率计的工作原理,掌握频率测量的基本方法。
- 相关教材章节:第五章“数字频率计”- 内容列举:频率计的基本原理、计数器原理、时钟分频器原理等。
2. EDA工具使用:培养学生运用EDA工具进行电路设计与仿真的能力。
- 相关教材章节:第三章“EDA工具的使用”- 内容列举:EDA工具的基本操作、原理图绘制、电路仿真等。
3. 数字频率计电路设计:使学生掌握数字频率计的电路设计方法,包括计数器、时钟分频器等关键部分。
- 相关教材章节:第四章“数字电路设计”- 内容列举:计数器设计、时钟分频器设计、数字频率计整体电路设计等。
EDA报告(数字频率计)
第 5页
西华大学课程设计说明书 说明书
率。 方案二:等精度频率计。此种方案能够保证计数器的工作时间恰好等于被测信号的
完整周期数,这保证了信号在任何频率条件下都能保持恒定精度。系统对信号进行计数 后还需要运用单片机进行处理,才能得到其频率。本方案原理较第一方案要复杂一些, 但是精度得到了保证。但系统的核心只有一部分能用 VERILOG 描述处来,数据的处理还 得靠单片机来执行,所以系统整体电路较为复杂。做成实物之后的成本也会大幅上升。
input clkdiv2;
output tsten,clr_cnt,load;
reg clr_cnt;
wire tsten,load;
reg div2clk;
always@(posedge clkdiv2)
div2clk<=~div2clk;
always@(clkdiv2 or div2clk)
begin
DOUTH[3..0] 最高位输出的 BCD 码。 逻辑功能:当 LOAD 信号上跳时,将输入的 8 位 BCD 码送到输出端,由于接收的是 8 个计数器的输出信号,因此输入信号是 8 个 4 位而不是 1 个 32 位。输出信号中低 7 位 不需要译码,最高位又需要译码,所以采用两个信号分开输出。 2、Verilog 源代码 modulereg2(load,din0,din1,din2,din3,din4,din5,dout0,dout1,dout2,dout3,d out4,dout5); input load; input[3:0]din0,din1,din2,din3,din4,din5; output[3:0] dout0,dout1,dout2,dout3,dout4,dout5; reg[3:0]dout0,dout1,dout2,dout3,dout4,dout5;
1、高精度六位十进制频率计设计
1、高精度六位十进制频率计设计南京工程学院自动化学院大作业(论文)题目:高精度六位十进制频率计设计专业:测控技术与仪器班级:学号:学生姓名:任课教师:郭婧成绩:高精度六位十进制频率计设计一、基本要求:根据频率的定义和频率测量的基本原理,设计频率测量电路,并由外部6位10进制7段译码器显示出来。
假设系统具备1HZ标准信号源,考虑被测信号为高频或低频两种情况。
二、评分标准:1、设计方案介绍(共10分)要求:详细叙述频率测量方案(仅考虑被测信号为高频,假设系统具备1HZ 等各标准信号源)。
评分标准:9-10分:方案叙述详细,正确;7-8分:方案叙述较详细,基本正确;6分以下:酌情给分0分:抄袭别人2、VHDL设计部分(60分)要求:给出详细的VHDL设计过程,提供详细的程序代码,如果设计中用到LPM模块,则给出生成LPM模块的每一步操作流程的截图,并加以文字描述。
评分标准:54-60分:代码详细,截图完整,书写规范,48-53分:代码较详细,截图较完整,书写较规范;47以下:酌情给分0分:抄袭别人3、模拟调试部分(15分)要求:给出详细的仿真过程,对软件编译、仿真分析、仿真波形进行截图,并给出不同被测频率(模拟给出)情况下的仿真测试结果,给出详细的实验结果分析。
评分标准:14-15分:调试过程详细,正确,截图完整;12-13分:调试过程较详细,基本正确,有截图;12分以下:酌情给分0分:抄袭别人4、提高部分(15分)要求:如果被测信号频率降低(即被测信号为低频的情况),或者被测信号频率变化范围较大,则如何提高测量精度?可以仅写出测量方案,也可以进一步设计VHDL代码、仿真调试,可以采取各种方法,甚至可以加上单片机辅助,假设系统提供100MHZ的标准信号源。
评分标准:根据完成的程度给分。
0分:抄袭别人。
[精品]EDA课程的设计简易频率计的设计
目录摘要 (1)一、简易数字频率计设计原理 (2)1.1基本原理 (2)1.2原理框图 (2)二、各模块程序及仿真 (4)2.1信号处理模块_verilog: (4)2.2计数器模块: (5)2.3信号显示处理 (7)三、仿真结果分析 (10)总结与致谢 (13)参考文献 (14)摘要EDA技术是以硬件语言为主要的描述方式,以EDA软件为主要的设计软件,以大规模课编程逻辑器件为载体的数字电路的设计过程。
其设计的灵活性使得EDA技术得以快速发展和广泛应用。
本设计以QuartusⅡ软件为设计平台,采用Verilog HDL语言现数字频率计的整体设计。
电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域,电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与电子技术,微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。
EDA的一个重要特征就是使用硬件描述语言(HDL)来完成的设计文件,在电子设计领域受到了广泛的接受。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,有硬件描述语言Verilog HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专业集成芯片的一门新技术。
EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
关键词:EDA;QuartusⅡ;Verilog HDL一、简易数字频率计设计原理1.1基本原理数字频率计的主要功能是测量周期信号的频率。
频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。
频率是单位时间(1秒)内方波信号发生周期变化的次数。
在给定的1秒时间内对方波信号波形计数,并将所计数值显示出来,就能读取被测信号的频率。
EDA课程设计--数字频率计
EDA《数字频率计》课程设计报告专业:电子信息工程班级:08电信姓名:刘冰学号: F指导教师:任苹年月日一课程设计目的1)课程设计题:数字频率计2)任务及要求1、设计一个能测量方波信号的频率的频率计。
2、测量的频率范围是0 Hz。
3、结果用十进制数显示。
4、按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。
3)教学提示1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。
所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。
2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。
3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。
4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。
5f=N/T,改变时基信号的周期T,即可得到不同的测频范围。
5、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。
6、改变量程时,小数点能自动移位。
4)设计报告要求1、说明设计作品的功能、特点、应用范围;2、方案对比,确定方案。
3、电路工作原理、操作方法;4、编程方法、程序框图及关键程序清单。
5、课程设计总结。
数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。
它是一种用十进制数字,显示被测信号频率的数字测量仪器。
它的基本功能是测量正弦信号,方波信号以及其他各种单位时间内变化的物理量。
在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精度高,显示直观,所以经常要用到数字频率计。
二、设计方案论证、结果以及分析1 原理图编译成功后其波形图如下:2、信号发生器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sele isport(clk: in std_logic;jian: in std_logic_vector(1 downto 0);oclk: out std_logic);end;architecture s_1 of sele issignal full : std_logic;signal t :integer range 0 to ;beginP1:process(jian,t)begincase jian iswhen "00" => t <= ; --产生时基脉冲1swhen "01" => t <= ; --产生时基脉冲100mswhen "10" => t <= 59999; --产生时基脉冲10ms when "11" => t <= 5999; --产生时基脉冲1ms when others => null;end case;end process P1;P2: process(clk,t)variable s : integer range 0 to ;beginif (clk'event and clk = '1') thenif s < t thens := s +1;else s := 0 ;end if;end if;if s = t then full <= '1';else full <= '0';end if;end process P2;P3: process(full)variable c : std_logic; beginif full'event and full = '1' then c := not c;if c = '1' thenoclk <= '1';else oclk <='0';end if;end if;end process P3;end;其仿真波形为:3、测频library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity cp isport(clkk: in std_logic;en,rst,load: out std_logic); end;architecture cp_1 of cp issignal div2: std_logic;beginprocess(clkk)beginif(clkk'event and clkk='1') thendiv2 <= not div2;end if;end process;process(clkk,div2)beginif (clkk='0' and div2='0') thenrst <='1';else rst <='0';end if;end process;load <= not div2;en <= div2;end;其仿真波形为:4、计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jishu isport(rst,en,clk: in std_logic;Q: out std_logic_vector(3 downto 0); cout: out std_logic);end;architecture cnt of jishu issignal cnt: std_logic_vector(3 downto 0);beginprocess(rst,en,clk)beginif rst='1' then cnt <= "0000";elsif(clk'event and clk='1') and en = '1' thenif cnt = "1001" thencnt <= "0000"; cout <= '1';elsecnt <= cnt + 1;cout <= '0';end if;end if;end process;Q <= cnt;end;在源程序中COUT是计数器的进位输出;Q[3..0]是计数器的状态输出;CLK是时钟输入端;RST是复位控制端;当RST=1时,Q[3..0]=0,EN是使能控制输入端,当EN=1时,计数器计数,当EN=0时,计数器保持状态不变。
6位频率计课程设计
6位频率计课程设计一、教学目标本课程的教学目标是使学生掌握6位频率计的基本原理、结构和应用,具备分析和解决相关问题的能力。
具体目标如下:1.知识目标:(1)了解6位频率计的原理和结构;(2)掌握6位频率计的调试和维护方法;(3)熟悉6位频率计在实际工程中的应用。
2.技能目标:(1)能够独立完成6位频率计的组装和调试;(2)能够运用6位频率计解决实际问题;(3)具备一定的创新能力,对6位频率计进行改进和优化。
3.情感态度价值观目标:(1)培养学生对电子技术的兴趣和热情;(2)树立学生自信心,培养学生克服困难的意志;(3)培养学生团队合作精神,提高学生沟通能力。
二、教学内容本课程的教学内容主要包括以下几个部分:1.6位频率计的基本原理;2.6位频率计的结构和组成;3.6位频率计的调试和维护方法;4.6位频率计在实际工程中的应用;5.6位频率计的创新和改进。
三、教学方法为了提高教学效果,本课程将采用以下教学方法:1.讲授法:讲解6位频率计的基本原理、结构和应用;2.讨论法:学生讨论6位频率计的调试和维护方法;3.案例分析法:分析实际工程中6位频率计的应用案例;4.实验法:引导学生动手实践,完成6位频率计的组装和调试。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将准备以下教学资源:1.教材:为学生提供权威、实用的教材,帮助学生系统地学习6位频率计的知识;2.参考书:提供相关领域的参考书籍,拓展学生的知识面;3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣;4.实验设备:准备充足的实验设备,确保每个学生都能动手实践,提高操作技能。
五、教学评估本课程的教学评估将采用多元化的方式,以全面、客观、公正地评价学生的学习成果。
评估方式包括:1.平时表现:通过课堂参与、提问、回答问题等环节,评估学生的学习态度和积极性;2.作业:布置适量的作业,评估学生的理解和应用能力;3.实验报告:评估学生在实验过程中的操作技能和分析问题的能力;4.考试成绩:通过期末考试,评估学生对课程知识的掌握程度。
EDA技术课程设计报告
EDA技术课程设计报告课题名称:六位频率计的设计电子工程系2023年6月10日目录一概述 .................................................................... 错误!未定义书签。
(一)设计背景及意义 ......................................... 错误!未定义书签。
(二)设计任务与规定 ......................................... 错误!未定义书签。
二六位频率计的工作原理 .................................... 错误!未定义书签。
三六位频率计的设计与仿真 ................................ 错误!未定义书签。
(一)六位十进制频率计的设计与仿真 ............. 错误!未定义书签。
(二)六位十六进制频率计的设计与仿真 ......... 错误!未定义书签。
四调试过程、测试结果及分析 ............................ 错误!未定义书签。
(一)六位十进制频率计的调试过程、测试结果及分析 . 错误!未定义书签。
(二)六位十进制频率计扩展功能的调试过程、测试结果及分析.................................................................................. 错误!未定义书签。
(三)六位十六进制频率计的调试过程、测试结果及分析 . 错误!未定义书签。
五课程设计体会 .................................................... 错误!未定义书签。
六参考文献 ............................................................ 错误!未定义书签。
6位数字频率计
数字频率计目录一、设计任务书二、设计框图及整体概述三、各单元电路的设计方案及原理说明四、结果分析五、体会和总结附录一、电路设计总图附录二、50MHz变成2Hz的模块VHDL语言源程序附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表第页一、设计任务书设计一个6位数字频率计,测量范围为000000~999999;应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图;应用FPGA实验开发板下载设计文件,实现电路的功能。
二、设计框图及整体概述1.设计框图2、主要芯片及作用T触发器:将2HZ的频率翻转成1HZ。
74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。
74374:是8位的锁存器,可以选用3个来设计24位的锁存器。
74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。
7448:是BCD—7段译码器,用来显示测量结果。
3、设计原理说明数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。
因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。
50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。
有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。
三、各单元电路的设计方案及原理说明 1. 时钟分频模块VCCclk_50mINPUT clk_1hzOUTPUTclk1clkfenpininstPRNCLRN TQTFFinst2VCC时钟分频原理图原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。
eda频率计课程设计
eda频率计课程设计一、课程目标知识目标:1. 学生能够理解EDA(电子设计自动化)的基本概念,掌握频率计的设计原理。
2. 学生能够运用所学知识,分析并设计简单的频率计电路。
3. 学生能够了解频率计在实际应用中的重要性,如信号处理、通信等领域。
技能目标:1. 学生能够运用EDA工具进行电路设计和仿真,提高实际操作能力。
2. 学生能够通过小组合作,解决设计过程中遇到的问题,培养团队协作能力。
3. 学生能够运用所学知识,对频率计电路进行调试和优化,提高问题解决能力。
情感态度价值观目标:1. 学生对EDA技术和电子设计产生兴趣,培养科学探究精神。
2. 学生在学习过程中,养成积极思考、主动探究的良好学习习惯。
3. 学生通过课程学习,认识到科技创新对国家和社会发展的意义,增强社会责任感。
课程性质分析:本课程为电子技术相关课程,旨在让学生掌握EDA技术及其在频率计设计中的应用。
课程内容紧密结合实际,注重培养学生的动手能力和创新能力。
学生特点分析:学生为高中年级,已具备一定的电子技术基础,对新技术和新知识充满好奇,具备较强的学习能力和探究精神。
教学要求:1. 结合教材内容,注重理论与实践相结合,提高学生的实际操作能力。
2. 鼓励学生积极参与课堂讨论,培养学生的思考能力和表达能力。
3. 以项目为导向,注重过程评价,关注学生的学习成果和情感态度价值观的培养。
二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具使用- EDA技术的定义、作用及其发展- 常用EDA工具软件的介绍与安装- EDA工具的基本操作与使用方法2. 频率计设计原理- 频率计的基本工作原理- 常见频率计电路拓扑结构分析- 频率计的关键参数及其影响3. 频率计电路设计与仿真- 电路设计流程与方法- 使用EDA工具进行频率计电路设计- 电路仿真与分析4. 频率计电路制作与调试- 电路板设计规范与制作- 元器件选型与焊接- 电路调试与优化5. 频率计在实际应用中的案例分析- 频率计在信号处理、通信等领域的应用- 案例分析与讨论教学大纲安排:第一课时:介绍EDA基本概念与工具使用第二课时:讲解频率计设计原理第三课时:进行频率计电路设计与仿真第四课时:制作与调试频率计电路第五课时:分析频率计在实际应用中的案例教学内容与教材关联:本教学内容紧密结合教材中关于EDA技术、电子测量、电路设计与仿真等章节,确保内容的科学性和系统性。
EDA课程设计——数字频率计的设计
《电子设计自动化(EDA)技术》课程设计报告书题目: 数字频率计的VHDL设计姓名:院系:专业:学号:指导教师:完成时间: 年月日课程设计题目、内容、要求目录1 课程设计题目、内容与要求……………………………………1.1 设计内容……………………………………………………1.2 具体要求……………………………………………………2 系统设计…………………………………………………………2.1 设计思路……………………………………………………2.2 系统原理与设计说明3 系统实现…………………………………………………………4 系统仿真…………………………………………………………5 硬件验证(操作)说明…………………………………………6 总结……………………………………………………………7 参考书目………………………………………………………1 课程设计题目、内容与要求1.1课程设计的题目:数字频率计设计1.2课程设计内容:(1)设计一个能测量方波信号的频率计;(2)测量范围是0-999999Hz;(3)结果用十进制数显示。
2 系统设计2.1设计思路:2.1.1 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器.它的基本功能是测量方波信号及其他各种单位时间内变化的物理量。
本数字频率计采用自顶向下的设计思想,通过闸门提供的1s闸门时间对被测信号进行计数及测出的被测信号的频率,测出的频率再通过译码器译码后输出给显示器显示。
根据系统设计的要求,数字频率计的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:2.2.1标准时钟发生电路模块借用实验板上标准时钟发生电路,为计数闸门控制电路提供一个标准8Hz信号。
2.2.2 计数器闸门控制电路模块计数器闸门控制电路就是产生三个控制信号,即计数器复位信号、4位十进制计数器允许计数信号、锁存信号。
2.2.3锁存电路模块锁存电路就是为了让LED数码管在信号来临之前保持计数值不变。
数字计频器课程设计-六位数字计频器设计
数字计频器课程设计2008.12.29六位数字计频器设计一、数字频率计测频率基本原理数字频率计的基本原理就是测量单位时间内脉冲信号的个数,即,f=N/T其中,f 是被测信号的频率,N 是计数器累加的脉冲个数,T 是测量时间。
基本原理如下图所示:(1)时基单元包括振荡器和分频器,用来产生周期为1s 的脉冲信号,称为时基信号。
控制电路(2)控制电路其一得到宽度为1s的方波,称为闸门信号,其二在每次取样后封锁主控门和时基信号的输入门(3)计数单元把通过主控门的被测信号输入计数器、寄存器、译码器和显示器。
(4)延时单元数据显示一段时间,其时间的长短取决于延时电路,然后对计数器进行清零,重新进行测量。
(5)主控门起控制被测信号通过的作用,在取样时间内主控门打开,清零和显示时间内主控门关闭。
(6)输入单元将接受的各种信号放大、整形,变换为脉冲信号。
二、数字频率计主要技术指标1、频率测量范围在输入电压符合规定要求值时,能够正常进行测量的频率区间称为频率测量范围。
频率测量范围主要由放大整形电路的频率响应决定。
本方案的测量范围是1-999999Hz。
2、数字显示位数频率计的数字显示位数决定了频率计的分辨率。
位数越多,分辨率越高。
本方案的显示位数为6位3、测量时间频率计完成一次测量所需要的时间,包括准备、计数、锁存和复位时间。
三、计频器功能1、一秒显示一秒清零;2、显示两秒清零一秒;3、消隐功能;4、能够实现开关和清零功能;四、设计环境本方案是以max+plus 2.0为设计和仿真平台,GW48-CK实验开发系统为应用平台。
五、方案及原理图实验方案选择试验指导书提供的例题——二位十进制的频率计频器的类似的设计方案,以下将给出电路设计图并予以说明。
A、频率显示1s,0显示1sⅠ、两位计数器原理图及说明说明:74390连接成两个独立的十进制计数器,待测信号clk通过一个与门电路进入74390计数器1的时钟输入端1CLKA,与门的另一端接使能enb当enb=1时能够计数,enb=0时禁止计数。
EDA课程设计报告__频率计
课程设计报告一、设计目的和要求1.课程设计目的(1).熟悉CPLD的开发软件的基本使用。
(2).理解频率计的测量原理。
(3).掌握CPLD逻辑电路设计方法。
(4).掌握虚拟数字频率计的软件设计。
2.课程设计的基本要求在CPLD中设计一个数字频率计电路,设计要求为:测量范围:1Hz~1MHz。
3.课程设计类型Vhdl程序设计二、仪器和设备1.电脑2.max+plus2软件三、设计过程1.设计内容和要求在CPLD中设计一个数字频率计电路,设计要求为:测量范围:1Hz~1MHz。
2.设计方法和开发步骤3.设计思路下图是8位十进制数字频率计的电路逻辑图,它由1个测频控制信号发生器TESTCTL、8个有时钟使能的十进制计数器的CNT10、1个32位锁存器REG32B组成。
常熟理工学院课程设计报告1)测频控制信号发射器的设计频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。
这就要求TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。
当TSTEN高电平时,允许计数;低电平时,停止计数,并保持其所计的数。
在停止计数期间,首先需要一个锁存信号LOAD 的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B 中,由外部的7段译码器译出并稳定显示,锁存信号之后必须有一清零信号CLR_CNT对计数器进行清零,为下一秒的计数操作作准备。
测频控制信号发生器是先建立一个由D触发器构成的二分频物理与电子工程学院EDA实验室器,再在每次时钟CLK上沿到来时其值翻转。
其中,控制信号时钟CLK的频率取1Hz,而信号TSTEN的脉宽恰好是1s,可以用做闸门信号。
此时,根据测频的时序要求,可得出信号LOAD和CLR_CNT的逻辑描述。
在计数完成后,即计数使能信号TSTEN在1s的高电平后,利用其反相值的上跳沿产生一个锁存信号LOAD,0.5秒后,CLR_CNT产生一个清零信号上跳沿。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录一概述............................................................................................................................................. - 1 -(一)设计背景及意义 (1)(二)设计任务与要求 (1)二六位频率计的工作原理............................................................................................................. - 1 -三六位频率计的设计与仿真......................................................................................................... - 2 -(一)六位十进制频率计的设计与仿真 (2)(二)六位十六进制频率计的设计与仿真 (5)四调试过程、测试结果及分析 ..................................................................................................... - 8 -(一)六位十进制频率计的测试结果与分析 (8)(二)六位十进制频率计扩展功能的测试结果与分析 (9)(三)六位十六进制频率计的测试结果与分析 (10)五课程设计体会........................................................................................................................... - 11 -六参考文献................................................................................................................................... - 11 -六位频率计的设计一概述(一)设计背景及意义现代电子设计技术的核心已日益趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。
为了加深对EDA技术的理解,培养EDA设计的能力和团队协作能力,于是按要求完成了本次课程设计。
(二)设计任务与要求1、设计任务采用原理图设计并制作六位十进制频率计,用VHDL语言方法设计并制作六位十六进制频率计。
2、设计要求a)参考信号频率为1Hz;b)测量频率范围:六位十进制频率计:1Hz~100kHz;六位十六进制频率计:1Hz~4MHz;c)结果能用数码显示器显示。
二六位频率计的工作原理六位频率计由:测频控制电路、锁存器、计数器三大部分组成。
结构框图如图1所示。
图1:六位十进制频率计结构框图六位频率计可对被测信号频率进行测量。
测频控制电路的计数使能信号能产生一个固定脉宽的周期信号,并对频率计中的计数器的使能端进行同步控制。
计数器高电平时开始计数,低电平时停止计数,并保持其所计的脉冲数。
在停止计数期间,首先需要一个锁存信号的上升延将计数器在前一秒钟的计数值锁存进锁存器中,并由外部的显示电路显示出来。
三六位频率计的设计与仿真(一)六位十进制频率计的设计与仿真1.测频控制电路原理图及仿真波形图2.十进制计数器原理图及仿真波形图3.六位十进制频率计原理图及仿真波形图4.六位十进制频率计扩展功能说明 方案一:将测频控制电路修改为如图2所示电路即可实现频率直接读数,而不需换算的过程。
方案二:CNT_EN 的输入设置为:A B C D Q Q Q Q EN CNT ∙∙∙=_同样也可以实现频率直接读数,而不需换算的过程。
(二)六位十六进制频率计的设计与仿真1.测频控制电路VHDL 描述及仿真波形图LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY PLJ ISPORT( CLK : IN STD_LOGIC; CNT_EN : OUT STD_LOGIC; RST_CNT : OUT STD_LOGIC; LOAD : OUT STD_LOGIC); END PLJ;ARCHITECTURE BEHAV OF PLJ ISSIGNAL DIV2CLK : STD_LOGIC; BEGINPROCESS(CLK) BEGINIF CLK'EVENT AND CLK = '1' THENDiv2clk <= NOT Div2clk; END IF; END PROCESS;PROCESS(CLK, Div2clk) BEGINIF CLK = '0' AND Div2clk = '0' THEN RST_CNT <= '1';图2:六位十进制频率计功能扩展部分原理图ELSE RST_CNT <= '0';END IF;END PROCESS;LOAD <= NOT Div2clk;CNT_EN <= Div2clk;END BEHAV;2.二十四位锁存器VHDL描述及仿真波形图LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCQ ISPORT(LK : IN STD_LOGIC;DIN : IN STD_LOGIC_VECTOR(23 DOWNTO 0);DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0));END SCQ;ARCHITECTURE BEHAV OF SCQ ISBEGINPROCESS(LK,DIN)BEGINIF LK'EVENT AND LK = '1' THEN DOUT <= DIN;END IF;END PROCESS;END BEHAV;3.二十四位计数器VHDL描述及仿真波形图LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JSQ ISPORT( FIN : IN STD_LOGIC;CLR : IN STD_LOGIC;ENABL : IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0));END JSQ;ARCHITECTURE BEHAV OF JSQ ISSIGNAL CQI : STD_LOGIC_VECTOR (23 DOWNTO 0); BEGINPROCESS (FIN, CLR, ENABL)BEGINIF CLR ='1' THEN CQI <= (OTHERS => '0');ELSIF FIN'EVENT AND FIN = '1' THENIF ENABL = '1' THEN CQI <= CQI + 1;END IF;END IF;END PROCESS;DOUT <= CQI;END BEHAV;4.频率计顶层设计 VHDL描述及仿真波形图LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DC ISPORT ( CLK1HZ : IN STD_LOGIC;FSIN : IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)); END;ARCHITECTURE STRUC OF DC ISCOMPONENT PLJ ISPORT( CLK : IN STD_LOGIC;CNT_EN : OUT STD_LOGIC;RST_CNT : OUT STD_LOGIC;LOAD : OUT STD_LOGIC);END COMPONENT;COMPONENT JSQ ISPORT( FIN : IN STD_LOGIC;CLR : IN STD_LOGIC;ENABL : IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0));END COMPONENT;COMPONENT SCQ ISPORT(LK : IN STD_LOGIC;DIN : IN STD_LOGIC_VECTOR(23 DOWNTO 0);DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0));END COMPONENT;SIGNAL TSTEN1 : STD_LOGIC;SIGNAL CLR_CNT1 : STD_LOGIC;SIGNAL LOAD1 : STD_LOGIC;SIGNAL DTO1 : STD_LOGIC_VECTOR (23 DOWNTO 0);SIGNAL CARRY_OUT1 : STD_LOGIC_VECTOR (6 DOWNTO 0);BEGINU1: PLJ PORT MAP (CLK => CLK1HZ, CNT_EN =>TSTEN1, RST_CNT => CLR_CNT1, LOAD => LOAD1);U2: SCQ PORT MAP ( LK => LOAD1, DIN => DTO1, DOUT => DOUT);U3: JSQ PORT MAP ( FIN => FSIN, CLR => CLR_CNT1, ENABL => TSTEN1, DOUT => DTO1);END STRUC;四调试过程、测试结果及分析(一)六位十进制频率计的调试过程、测试结果及分析表1 六位十进制频率计的测试结果调试过程:调试过程分三步,首先是测频控制电路调试,然后就是计数器电路调试,最后就是综合电路调试。
测频控制电路调试:观察输出的波形,输出波形中clr和lock信号开始出现一段XX波形,原因就是单片机开始识别的是一个强未知的信号。
使能信号enb输出的是时钟信号clk的16分频信号,而清零信号clr和锁存信号输出的都是时钟信号clk的二分频信号。