EDA技术课程设计题目与任务
eda课程设计—算术逻辑运算单元 (1)
燕山大学EDA课程设计报告书题目:算术运算逻辑单元ALU 姓名:班级:学号:成绩:一、设计题目及要求题目名称:算术运算单元ALU要求:1.进行两个四位二进制数的运算;2.算术运算:A+B, A-B, A×B;3.逻辑运算:A and B, A or B, A not, A xor B;4. 用数码管显示算术运算结果,以LED指示灯显示逻辑运算结果。
二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)1.整体设计思路(1)根据设计要求将题目划分为五个模块。
包括两个逻辑运算模块,两个算术运算模块,和一个控制模块。
其中逻辑运算模块为A and B和A or B,A not和A xor B;算术模块为A±B,A×B。
(2)因为需要进行四位二进制数的运算,因此用A4,A3,A2,A1表示四位二进制数A,用B4,B3,B,B1表示四位二进制数B,用C4,C3,C2,C1表示四位二进制数C。
其中A,B为输入,C为输出。
2.分模块设计(1)A+B和A-B模块A+B可以直接通过74283 两个四位二进制数加法器实现。
A-B可以看作A+(-B),即A加B的补码来实现。
同时再设计一个转换控制端M。
M=0时实现A+B,M=1时实现A-B。
最后再设计一个总的控制端K1,K1=1时模块正常工作,K1=0时不工作。
做加法时,C0为进位输出,C0输出1表示有进位,做减法时,C0为借位输出,C0输出1表示有借位。
通过74283五位输出,进入译码器将五位变成八位输出,在通过数码管显示。
实现A+B,例:0111+0111=1110(7+7=14)则数码管应显示14。
实现A-B 例:1100-0110=0110(12-6=6)则数码管显示06。
A+B,A-B总原理图如下:A+B,A-B分原理图如下:译码器原理图如下:扫描电路原理图如下:A+B仿真图:A-B仿真图:(2)AXB模块AXB模块采用乘数累加被乘数的次的原理来实现乘法功能。
EDA课程设计报告
EDA课程设计报告实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。
(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。
(4)了解掌握单片机芯片的烧写方法与步骤。
(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。
(6)掌握利用protel 99 se绘制电路原理图及pcb图。
(7)了解pcb板的制作腐蚀过程。
二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。
(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。
(6)制板。
首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb 板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。
(7)焊接。
将所给元器件根据原理图一一焊至pcb板相应位置。
(8)调试。
先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。
(流水灯控制器原理图)四、流水灯控制器程序的主程序:org 0000hsjmp startorg 0030hstart:mov a,0ffhmov r0,1chmov r2,12hclr cloop1:acall delaydjnz r0,loop,尽在。
EDA实训任务书
电子设计自动化实训(课程设计)任务书(电子信息工程技术、应用电子技术专业)一、实训的目的与任务电子设计自动化实训(注:电子设计自动化即EDA,Electronics Design Automation)是继《模拟电子技术实训》、《数字电子技术实训》、《电子工艺技术基础实训》等课程后,应用电子技术专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术实践课程的一个部分,其目的和任务是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(MAXPLUS2),并能利用EDA软件设计一个电子技术综合问题,并在实验板上成功下载,为以后进行工程实际问题的研究打下设计基础。
二、实训的基本要求1、通过实训(课程设计)使学生能熟练掌握一种EDA软件(MAXPLUS2)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。
2、通过实训(课程设计)使学生能利用EDA软件(MAXPLUS2)进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择),设计输入可采用图形输入法或VHDL硬件描述语言输入法。
3、通过实训(课程设计)使学生初步具有分析、寻找和排除电子电路中常见故障的能力。
4、通过实训(课程设计)使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。
5、考查形式为:结合实训(课程设计)中的能力表现和设计报告,综合评分。
三、设计题目利用可编程逻辑器件设计一个数字电子钟系统,要求能实现时、分、秒的计数等综合计时功能,同时将计时结果通过6个七段数码管显示,并且可通过三个设置键,对计时系统进行复位及小时,分钟进行调整,具有整点报时功能。
具体数字电子钟显示格式如图8-1所示。
小时分钟秒图8-1 数字电子钟显示格式四、设计实现1、认真分析题目,履清设计思路(可行性论证分析)2、方案设计、对比,找出最佳方案,得出系统方框图3、系统分块(分进程),各模块(进程)设计,得出例化图4、完成个模块的设计,最后完成顶层文件5、调试、修改、完善6、写设计报告7、完成设计五、时间分配:一周(具体周次各班依据教务处所排课表)1、周一搜集资料、论证设计方案;2、周二设计各单元模块程序代码;3、周三、四软硬件调试;4、周五到周日总结设计工作,写出设计报告。
EDA_课程设计_乐曲演奏器
EDA课程设计题目:乐曲硬件演奏电路的VHDL设计专业:通信工程班级:通信082姓名:***学号:**********一、 设计题目:乐曲硬件演奏电路的VHDL 设计二、 设计目标:1)能够播放“梁祝”乐曲。
2)能够通过LED 显示音阶。
3)具有“播放/停止”功能,并在此基础上实现“按键演奏”的电子琴功能。
三、 设计原理:1. 音乐基础知识一段简单乐谱由音调和节拍组成,音调表示一个音符唱多高的频率,节拍表示一个音符唱多长的时间。
音符的节拍我们可以举例来说明。
在一张乐谱中,我们经常会看到这样的表达式,如1=C 44、1=G 43…… 等等。
以43为例加以说明,它表示乐谱中以四分音符为节拍,每一小结有三拍。
比如:图1其中1 、2 为一拍,3、4、5为一拍,6为一拍共三拍。
1 、2的时长为四分音符的一半,即为八分音符长,3、4的时长为八分音符的一半,即为十六分音符长,5的时长为四分音符的一半,即为八分音符长,6的时长为四分音符长。
那么一拍到底该唱多长呢?一般说来,如果乐曲没有特殊说明,一拍的时长大约为400—500ms 。
我们以一拍的时长为400ms为例,则当以四分音符为节拍时,四分音符的时长就为400ms,八分音符的时长就为200ms,十六分音符的时长就为100ms。
2.原理图框图:图2.框图3.原理图说明音乐播放原理说明音符的频率由数控分频器模块Speakera产生。
ToneTaba模块从NoteTabs模块中输入的音符数据,将对应的分频预置数据传送给Speakera模块,并将音符数据送到LED模块显示音阶。
NoteTabs模块中包含有一个音符数据ROM,里面存有歌曲“梁祝”的全部音调,在此模块中设置了一个8位二进制计数器,作为音符数据ROM的地址发生器。
这个计数器的计数频率为4Hz,即每一个数值的停留时间为0.25秒。
例如:“梁祝”乐曲的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒钟时间,所对应的“3”音符分频预置数为1036,在Speakera的输入端停留了1秒。
eda技术实训课程设计
eda技术实训课程设计一、课程目标知识目标:1. 理解EDA技术的基本概念、原理及其在电子设计中的应用;2. 掌握EDA工具的使用方法,如原理图绘制、印制电路板(PCB)设计等;3. 学会利用EDA技术进行简单电路系统的设计、仿真与验证;4. 了解EDA技术的发展趋势及其在现代电子工程领域的地位和作用。
技能目标:1. 能够使用EDA工具完成原理图绘制、PCB布线等基本设计任务;2. 培养学生运用EDA技术解决实际电子工程问题的能力;3. 提高学生的团队协作能力和沟通能力,通过项目实训,掌握项目管理和时间规划技巧;4. 培养学生独立思考和创新能力,能够针对特定需求提出电子设计方案。
情感态度价值观目标:1. 培养学生对电子设计的兴趣,激发学习热情,树立良好的学习态度;2. 增强学生的实践操作能力,培养勇于尝试、善于克服困难的品质;3. 培养学生的创新意识和团队精神,提高职业素养,为未来从事电子工程设计奠定基础;4. 强化学生的环保意识,认识到电子设计在环保方面的重要性,培养绿色设计理念。
本课程针对高年级电子工程及相关专业学生,结合课程性质、学生特点和教学要求,将目标分解为具体的学习成果。
通过本课程的学习,使学生掌握EDA技术的基本知识,具备实际电子工程设计能力,为未来从事相关工作打下坚实基础。
同时,培养学生积极的学习态度、团队协作精神和创新能力,提高职业素养。
二、教学内容1. EDA技术概述- EDA技术发展历程- EDA技术的基本概念与分类- EDA技术在现代电子工程领域的应用2. EDA工具介绍- 常用EDA工具软件特点及功能对比- EDA工具的基本操作与使用方法- EDA工具在实际电子设计中的应用案例3. 原理图绘制- 电路原理图的基本元素与绘制方法- 元器件库的创建与管理- 原理图的层次化设计方法4. 印制电路板(PCB)设计- PCB设计的基本流程与方法- PCB布局、布线原则与技巧- PCB设计中的信号完整性分析5. 电路仿真与验证- 仿真软件的基本使用方法- 电路仿真模型的建立与参数设置- 仿真结果的分析与验证6. 项目实训- 项目需求分析- 项目设计、仿真与验证- 项目总结与汇报教学内容按照教学大纲安排,结合课本章节进行组织,确保科学性和系统性。
EDA课程设计题目
EDA课程设计题目
题目一:正弦信号发生器设计,要求:
1、与D/A转换电路配合,产生一路频率范围为1KHz~20KHz的正弦信号,输出
频率、幅度可调;
2、频率、幅度的设定通过按键增减来实现,频率调整步长为1KHz,幅度调整步
长为500mV。
题目二:乐曲硬件演奏电路设计,要求:
1、FPGA配合按键、蜂鸣器演奏一段简单音乐;
2、当前演奏音调对应的阿拉伯数字用一位数码管显示;
3、用LED灯亮的个数指示当前演奏音调。
题目三:计时电路设计,要求:
1、具有小时、分钟、秒计时功能,并在数码管上实时显示,显示模式为12小时制和24小时制可选
2、可以对时钟进行设置
3、具有设置闹钟使能、设置功能,并能进行闹钟提醒。
题目四:串口通信电路设计
要求:
(1)设计一个全双工串口收发控制程序,速率为9600b/s,其中数据帧格式为:1位起始位+8位数据+1位奇偶校验位+1位停止位。
(2)通过连接两个实验箱,验证通信功能(如通过一个实验箱按键,另一个实验箱显示)。
题目五:数字频率计设计,要求:
1、所测频率的误差恒为百万分之一;
2、测频范围为0.1Hz~10MHz。
题目六:灯柱控制电路设计。
要求:
1、通过调节旋钮,在数码管上显示当前电压值
2、随着旋钮旋动时,LED 灯柱改变点亮的长度,蜂鸣器改变发出的音调。
EDA课程设计
哈尔滨理工大学荣成学院EDA课程设计题目:蜂鸣器电路设计班级:自动化13-2班姓名:吴海涛学号:27一、实验目的(1) 学习并掌握蜂鸣器的原理、设计方法。
(2)了解乐谱的基本知识,可以将乐谱转换为Quartus II 文件,掌握其演奏的原理。
(3)掌握设计中各模块的功能,能够填入并演奏新的曲子。
二、实验设备与器件Quartus II 软件、实验箱三、实验原理蜂鸣器发生基本原理组成每个音调的频率值以及音长所延续的时间是连续发生声响的两个基本数据,所以只要控制输出到蜂鸣器的频率和持续的时间,就可以是蜂鸣器发出“多来咪发梭拉西多”的音调。
乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。
而要准确地演奏出一首乐曲,仅仅让蜂鸣器能够发声是不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。
由此可见,一首歌曲的产生应该考虑的两个因素:音符的产生频率和音的节拍(即声音持续的时间)。
只要控制输出到扬声器的激励信号频率的高低和持续的时间,就可以使扬声器发声产生优美的歌曲。
蜂鸣器接口电路:无源蜂鸣器的工作发声原理图如下:有源蜂鸣器的工作发声原理图如下:音调、分频值及频率预置数的表格如下:四、实验步骤1、新建工程(File—New Project Wizad)2、建立新的文本3、根据实验要求编写程序4、进行引脚设置5、对程序进行编译,点开RTL Viewer得到原理图6、下载到实验箱五、实验源程序蜂鸣器顶层设计给出输入信号、输出信号:分频器模块设计:各个音调的分频系数:状态机设计:六、实验现象与结果将设计的程序下载到试验箱中之后,接通电源后,蜂鸣器在clk的作用下向蜂鸣器发送一定频率的方波,自动依次发出"多来咪发梭拉西多"的音调按下rst按键蜂鸣器又从头开始发声,与实验要求相符合,实验目的达到。
七、实验总结我觉得这次综合实验是我收获很大的一次实验,从设计程序到一步一步实现它的功能都是我亲力亲为的,当然这次试验不可能是完美无缺的。
《EDA(VHDL)课程设计》任务书
3.四组数字智力抢答器的VHDL设计(每班1-30号的双号选择)
系统设计要求是:
(1)设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(1)主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。
(2)主干道处于常允许通行状态,而支干道有车来才允许通行。
(3)当主、支道均有车时,两者交替允许通行,主干道每次放行45s,支干道每次放行25s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5s的黄灯作为过渡,并进行减计时显示。
设计的基本要求
3.输入、输出接口说明
4.报告中有分频器、定时器相关模块的功能仿真和时序仿真结果。
5.编写内容充实、完整和规范的课程设计报告书。
6.课程设计报告要求校对仔细,无错字,A4纸打印,左侧装订。
主要参考文献
[1]谭会生,张昌凡.EDA技术及应用.西安:西安电子科技大学出版社,2006,12.
[2]潘松,黄继业.EDA技术实用教程.北京:科学出版社,2008,8.
2.99分钟定时器的VHDL设计(每班1-30号的单号选择)
任务要求:具有整体清零(reset)功能,定时99分钟。以秒速度递增至99分钟停止,启动报警(cout)5秒钟。具有置位(cn)控制,即cn高电平时,clk脉冲上升沿到来,计数加一;cn低电平时,置位结束,进入倒计时阶段,以秒速度使输出计数减一至零结束,并同时报警(cout)5秒钟。
为了防止雷同,建议每班1-4号设计2.5分频,5-8号设计3.5分频,9-12号设计4.5分频,13-16号设计5.5分频,17-20号设计6.5分频,21-24号设计7.5分频,25-28设计8.5分频,29-32设计9.5分频,33-36设计10.5分频,37-40号设计11.5分频,41-44号设计12.5分频计数器。
EDA课程设计
EDA课程设计报告题目:(一)设计一个自动计数并译码显示电子工程系2013年5月一、设计要求1.计数器的计数范围为0~999;计数值显示在扫描型4位(七段)数码管上;2.计数器带复位端Rst,从0开始计数。
3.各模块要求用VHDL语言描述。
二、设计思路计数范围为0~999的计数器并在七段显示数码管上显示出来加法计数器的动作,每次时钟脉冲信号CLK的上升沿到来时,计数器会将计数值加1。
作为计数器还应该由一个使能端EN和清零端CLR:当使能端EN为高电平“1”时,计数器开始计数;当使能端EN为低电平“0”时,计数器停止计数;清零端CLR一般由同步清零和异步清零两种,当清零端CLR为高电平“1”时有效;反之无效。
三、成员分工四、源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcdcounter isport( clk, clk1,clr,en : in std_logic; --en:使能端,clr:清零端clk:上升沿计数Co : out std_logic; --进位标志choice : out std_logic_vector( 2 downto 0); --用于选择数码管data : out std_logic_vector(6 downto 0) --7段数码管);end bcdcounter;architecture rtl of bcdcounter issignal q : std_logic_vector(3 downto 0);signal q1 : std_logic_vector(3 downto 0); --个位计数器signal q2 : std_logic_vector(3 downto 0); --十位计数器signal q3 : std_logic_vector(3 downto 0); --百位计数器signal count : std_logic_vector(2 downto 0);beginCo<='1' when (q3= "1001" and q2= "1001" and q1= "1001") else '0'; process (clk, clr, en)beginif clr = '1' then -- clk上升沿到来时计数q1<= "0000"; q2<= "0000"; q3<= "0000";elsif (clk'event and clk = '1') thenif en= '1' thenif(q3= "1001" and q2= "1001" and q1= "1001") thenq1<= "0000"; q2<= "0000"; q3<= "0000";--q1,q2,q3都计数到9,q1,q2,q3都清零elsif (q1="1001" and q2="1001" )thenq1<="0000"; q2<="0000";q3<=q3+1;--q1,q2都计数到9,q1,q2清零,q3加1elsif q1="1001"thenq1<="0000";q2<=q2+1; --q1计数到9,q1清零,q2加1else q1<=q1+1;end if;end if;end if;end process;------------------3进制计数器,用于选择数码管process (clk1,count)beginif (clk1'event and (clk1='1'))thenif (count>"001")thencount<="000";elsecount<=count+1;end if;end if;end process;----------------数码管的选择choice<="001"when count="000"else"010"when count="001"else"100";q<=q1 when count="000"elseq2 when count="001"elseq3;---------------数码管的显示with q selectdata <= "1111110" when "0000", --数码管显示0 "0110000" when "0001", --数码管显示1"1101101" when "0010", --数码管显示2"1111001" when "0011", --数码管显示3"0110011" when "0100", --数码管显示4"1011011" when "0101", --数码管显示5"1011111" when "0110", --数码管显示6"1110000" when "0111", --数码管显示7"1111111" when "1000", --数码管显示8"1111011" when "1001", --数码管显示9"0000000" when others; --数码管不显示end rtl;五、仿真结果六、收获体会课程设计是课程知识综合应用的实践训练,通过这次课程设计,锻炼了我们的思维能力。
eda简单课程设计
eda简单课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,了解其在现代电子设计中的应用。
2. 使学生了解并掌握EDA工具的基本操作流程,包括原理图绘制、电路仿真和PCB布线等。
3. 帮助学生理解并掌握简单的数字电路设计原理,例如逻辑门、触发器等。
技能目标:1. 培养学生运用EDA工具进行原理图绘制和电路仿真的能力。
2. 培养学生运用EDA工具设计简单数字电路并进行PCB布线的能力。
3. 提高学生解决实际电子设计问题的能力,培养团队协作和沟通技巧。
情感态度价值观目标:1. 激发学生对电子设计的兴趣,培养创新意识和实践能力。
2. 培养学生严谨、细致、负责的学习态度,养成良好的电子设计习惯。
3. 增强学生的团队合作意识,培养互相尊重、共同进步的价值观。
课程性质:本课程为实践性较强的课程,结合理论教学,以学生动手实践为主。
学生特点:本课程针对的是高年级学生,他们已经具备一定的电子基础知识,具有较强的学习能力和动手能力。
教学要求:结合学生特点和课程性质,将课程目标分解为具体的学习成果,注重理论与实践相结合,提高学生的实际操作能力。
在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能达到课程目标。
二、教学内容本课程教学内容主要包括以下三个方面:1. EDA基本概念及工具介绍:- 理解电子设计自动化(EDA)的定义及其在现代电子设计中的应用。
- 介绍常见的EDA工具,如Multisim、Protel等,并了解其功能特点。
2. EDA工具操作与使用:- 原理图绘制:学习如何使用EDA工具绘制原理图,掌握常用的电子元件及其符号。
- 电路仿真:学习运用EDA工具对电路进行仿真,分析电路性能。
- PCB布线:学习如何使用EDA工具进行PCB布线,了解布线规则和技巧。
3. 简单数字电路设计与实践:- 学习并掌握基本逻辑门、触发器等数字电路的设计原理。
- 结合EDA工具,设计并实现简单的数字电路,如计数器、寄存器等。
EDA课程设计
EDA课程设计题目:观测变频信号姓名:李中锐专业:电子与通信工程学号:201422172180科目名称:电子设计自动化任课老师:张延彬一.课程设计目的在掌握常用数字电路原理和技术的基础上,利用EDA技术和硬件描述语言,EDA开发软件(QuartusⅡ)和硬件开发平台(达盛试验箱CycloneⅡFPGA)进行初步数字系统设计,实现变频信号的观测过程。
二.课程设计平台硬件:试验箱,电脑软件:Quartus II语言:Verilog HDL三.总体设计的原理1.数控振荡器(NCO)的原理数控振荡器(NCO)的作用是产生正交的正弦和余弦样本。
传统方法是采用查表法(LUT),即事先根据各个正余弦波相位计算好相位的正余弦值,并按相位角度作为地址存储该相位的正余弦值,构成一个幅度P相位转换电路(即波形存储器)。
在系统时钟的控制下,由相位累加器对输入频率字不断累加,得到以该频率字为步进的数字相位,再通过相位相加模块进行初始相位偏移,得到要输出的当前相位,将该值作为取样地址值送入幅度P相位转换电路,查表获得正余弦信号样本。
对于一个相位位数为n ,输出信号幅度位数为M的数控振荡器,所需查找表大小为M×2n 。
为了提高数控振荡器的频率分辨率,往往需要扩大波形存储器的容量,造成存储资源的大量消耗。
而且,当需要外挂RAM 来存储波形时,由于受到RAM读取速度的影响,数控振荡器的输出速率必然受到制约。
因此,当需要设计高速、高精度的数控振荡器时,不宜采用查表法。
2.FIR滤波器原理FIR(Finite Impulse Response)滤波器:有限长单位冲激响应滤波器,又称为非递归型滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位抽样响应是有限长的,因而滤波器是稳定的系统。
在进入FIR滤波器前,首先要将信号通过A/D器件进行模数转换,把模拟信号转化为数字信号;为了使信号处理能够不发生失真,信号的采样速度必须满足奈奎斯特定理,一般取信号频率上限的4-5倍做为采样频率;一般可用速度较高的逐次逼进式A/D转换器,不论采用乘累加方法还是分布式算法设计FIR滤波器,滤波器输出的数据都是一串序列,要使它能直观地反应出来,还需经过数模转换,因此由FPGA构成的FIR滤波器的输出须外接D/A模块。
EDA技术课程设计题目
EDA技术课程设计题目总体要求说明:1、画出实体图。
2、画出实验原理图。
3、编写出VHDL源程序(可以是VHDL程序+原理图混合方式实现,但不能以单纯原理图方式实现)。
4、调试程序,带有逐行注释的VHDL程序代码,得出仿真的时序波形图。
5、实物验证,下载到EDA实验箱中实现设计的功能;鼓励下载到自有FPGA开发板上运行,但必须是现场下载。
6、写出详细的设计报告。
7、题目在下列给出的题目中选取。
8、考查成绩(设计报告和现场答辩)占70%,平时成绩(考勤和实验)占30%,考核成绩也与选择的题目的难度有关。
9、可以分组,但每组最多3人,每个题目选做的人数不能超过规定的人数,否则所有选择该题目的都要重新选择重做;同组的都应该共同参与问题的讨论和解决。
鼓励一个人设计开发。
各题目要求如下:一、流水灯(最多6个人,也就是最多2组选做)功能要求:1、设计能带8个LED发光管发光,并按照要求轮流发光,产生流水灯的流动效果。
2、应具有5种以上不同风格的流动闪亮效果。
3、有起动、停止控制键。
4、有流动闪亮效果选择设置键,流动风格分手动选择和自动循环两种模式。
二、电子钟(最多6个人,也就是最多2组选做)功能要求:1、用65536HZ的频率作为系统的时钟,对其进行分频产生秒信号,再对秒信号进行计数,产生分、时,并显示小时、分钟和秒的实际值。
2、能预置小时和分钟的功能(即校时、校分)。
3、显示用动态显示。
三、6路抢答器(最多6个人,也就是最多2组选做)功能要求:1、利用实验箱上的键1—7作为按键,其中键7为复位,键1为1路抢答键,键2为2路抢答键,等等。
2、按复位后系统响“嘀…..”一短声,6路抢答键起作用,任一抢答键按下时,显示其键号,并封锁所有抢答键,十秒钟后响“嘀…..”一长声,直到按复位键后才能解除声响。
3、具有抢答开始后20S倒计时,20S后倒计时后无人抢答显示超时的功能,并报警。
4、能显示超前抢答号并显示犯规警报,某一路犯规抢答,则自动取消(也就是禁止)下一次抢答的权利(1次)。
EDA课程设计--智能竞赛抢答器
唐山学院《EDA技术》课程设计题目系 (部)班级姓名学号指导教师15 年 7 月 5 日至 7 月 10 日共 1 周2015年 7 月 9 日《EDA技术》课程设计任务书目录1 引言 (1)2 VHDL介绍 (2)3 应用软件Quartus II (3)4智力竞赛抢答器设计 (4)4.1设计的目的 (4)4.2设计的基本内容 (4)5总体设计思路及分析 (5)5.1功能分析 (5)5.2 整体设计思想 (5)5.3分频模块设计 (6)5.4复位模块设计 (6)5.5抢答模块设计 (7)5.6倒计时模块设计 (8)5.7硬件下载驱动 (11)6心得体会 (12)参考文献 (13)附录一 (14)附录二 (20)1 引言EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。
在当今时代,现代电子产品几乎渗透了社会的各个领域,EDA技术是电子设计的发展趋势,EDA工具从数字系统设计的单一领域开始,应用范围已涉及模拟、微波等多个领域,可实现各个领域电子系统设计的测试、设计仿真和布局布线等。
设计者只要完成对电子系统的功能描述,就可利用计算机和EDA工具,进行设计处理,最终得到设计结果。
随着大规模集成电路技术和计算机技术的不断发展,即便是在普通的电子产品的开发方面,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性价比大幅度提高。
不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。
从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大的一种技术。
在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。
EDA课程设计
EDA应用技术课程设计设计题目:基于VHDL的交织编码器设计院系:电子与信息工程学院班级:电子11-2班姓名:学号:指导教师:一、一、设计任务和要求1.任务:基于VHDL的交织编码器设计2.要求:要有完整的设计过程与代码,清楚的说明其原理二、题目分析交织编码的目的是把一个较长的突发差错离散成随机差错,再用纠正随机差错的编码(FEC)技术消除随机差错。
交织深度越大,则离散度越大,抗突发差错能力也就越强。
但交织深度越大,交织编码处理时间越长,从而造成数据传输时延增大,也就是说,交织编码是以时间为代价的。
因此,交织编码属于时间隐分集。
在实际移动通信环境下的衰落,将造成数字信号传输的突发性差错。
利用交织编码技术可离散并纠正这种突发性差错,改善移动通信的传输特性。
三、设计过程及原理1.交织编码器工作原理信道编码中采用交织技术,可打乱码字比特之间的相关性,将信道中传输过程中的成群突发错误转换为随机错误,从而提高整个通信系统的可靠性。
交织编码根据交织方式的不同,可分为线性交织、卷积交织和伪随机交织。
其中线性交织编码是一种比较常见的形式。
所谓线性交织编码器,是指把纠错编码器输出信号均匀分成m个码组,每个码组由n段数据构成,这样就构成一个n×m的矩阵。
这里把这个矩阵称为交织矩阵。
如图1所示,数据以a11,a12,…,a1n,a21,a22,…,a2n,…,aij,…,am1,am2,…,amn(i=1,2,…,m;j=1,2,…,n)的顺序进入交织矩阵,交织处理后以a11,n21,…,am1,a12,a22,…,am2,…,a1n,a2n,…,amn的顺序从交织矩阵中送出,这样就完成对数据的交织编码。
还可以按照其他顺序从交织矩阵中读出数据,不管采用哪种方式,其最终目的都是把输入数据的次序打乱。
如果aij只包含1个数据比特,称为按比特交织;如果aij包含多个数据比特,则称为按字交织。
接收端的交织译码同交织编码过程相类似。
课程设计eda
课程设计eda一、教学目标本课程的教学目标是使学生掌握XX学科的基本概念、原理和方法,能够运用所学知识解决实际问题。
具体目标如下:1.知识目标:学生能够准确理解并记忆XX学科的基本概念、原理,了解学科的发展历程和应用领域。
2.技能目标:学生能够运用所学知识解决实际问题,具备一定的实践操作能力,能够进行科学探究和数据分析。
3.情感态度价值观目标:学生对XX学科产生浓厚的兴趣,培养科学思维和创新意识,增强社会责任感和使命感。
二、教学内容根据课程目标,本课程的教学内容主要包括以下几个方面:1.XX学科的基本概念和原理:通过讲解和案例分析,使学生了解并掌握XX学科的基本概念和原理。
2.XX学科的应用领域:介绍XX学科在实际应用中的案例,让学生了解学科的广泛应用。
3.实践操作和科学探究:学生进行实验和实践活动,培养学生的实践操作能力和科学探究能力。
4.数据分析与处理:教授学生如何运用数据分析方法解决实际问题,提高学生的数据分析能力。
三、教学方法为了实现课程目标,本课程将采用多种教学方法,包括:1.讲授法:通过教师的讲解,使学生掌握XX学科的基本概念和原理。
2.讨论法:学生进行课堂讨论,培养学生的思考和表达能力。
3.案例分析法:通过分析实际案例,使学生了解XX学科的应用领域。
4.实验法:学生进行实验操作,培养学生的实践能力和科学探究能力。
四、教学资源为了支持教学内容和教学方法的实施,本课程将准备以下教学资源:1.教材:选择适合学生水平的教材,为学生提供系统性的学习资料。
2.参考书:提供相关的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作多媒体课件和教学视频,提高学生的学习兴趣和效果。
4.实验设备:准备必要的实验设备和材料,为学生提供实践操作的机会。
五、教学评估本课程的评估方式将包括平时表现、作业、考试等多个方面,以全面、客观地评价学生的学习成果。
具体评估方式如下:1.平时表现:通过观察学生在课堂上的参与程度、提问回答等,评估学生的学习态度和理解程度。
EDA技术(课程设计题目)
设计题6—洗衣机控制电路
转60s→待机5s→反 转60s→,并用3个LED灯和7段显示器分别表示其工作状 态和显示相应工作状态下的时间。
2、可自行设定洗衣机的循环次数,这里设置最大的 循环次数为15次。
3、具有紧急情况的处理功能。当发生紧急情况时, 立即转入待机状态,紧急情况解除后,继续执行后续步 骤;
显示方式如下: 1、采用记忆显示方式,即计数过程中不显示数据,待 计数过程结束以后,显示计数结果,并将此显示结果保 持到下一次计数结束,显示时间不小于1s; 2、小数点位置随量程变更自动移位;
待测信号为符合TTL要求的方波信号。
设计题2—游戏电路的设计(模拟掷骰子)
设计要求
本游戏电路是模拟掷骰子,可供两人游戏。游戏者 每按动一次按键可得到1~6范围内的两个数,并按下列 规则决定胜负。 1、第一场比赛,游戏者每人各按一次按键,所得两 数之和为7和11者胜;若无人取胜,则进行第二场比赛; 2、第二场比赛,游戏者每人各按一次按键,所得两数 之和与第一场比赛相同者获胜,所得两数之和为7或11 者负,若无人获胜或负,则重复进行,直至出现胜者 或负者为止;
设计题4—自动售邮票机
设计要求
机器有一个投币口,每次只能投入一枚硬币,但可以连续投入 数枚硬币。机器能自动识别硬币金额,最大为1元,最小为1角, 购票者可选择的邮票面值有1元和5角两种,每次只能售出一枚邮 票。
购票时先选择邮票面值后投币,当投入的硬币总金额达到或超 过邮票面值时,机器应发出指示并拒收继续投入的硬币。
设计题5—万年历的设计
设计要求
设计万年历显示模块,应具备如下功能:
1、能显示年、月、日,时、分、秒两种显示方式; 2、有一个按键能选择不同的显示方式; 3、时间显示可以有按键选择24、12进制显示; 4、能由调时、分的按键,能进行时间的设定。 附加功能: 1、能有星期显示;2、能进行润年的计算; 3、能有秒表、倒计时等功能(自主设定)
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
五课程设计题目与内容1. 电子秒表设计内容及要求:完成具有多计数功能的秒表,并可将结果逐一显示在7 段数码管上,具体要求如下:(1)输入时钟10khz ,采用Altera EP1C6Q240C8 FPGA ;(2)异步、同步复位,计时精度1ms,最大计时240秒;(3)至少对 6 个目标计时,并可显示于7 段数码管,格式为xxx.yyy ,秒为单位;( 4)计时值可逐一顺序回显;( 5)按下一次终止键完成一个对象的计时,计时间隔小于最大计时值;( 6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。
进度安排:本设计持续10天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现2. aval on 总线从接口设计内容及要求:实现Altera 的NiosII CPU 外部总线接口电路,完成存储器的读写操作。
(1) CPU采用Altera Nios n;( 2)接口电路采用同步操作,注意时钟的选择;( 3)寻址空间0x8000~0x8ffff ,数据总线宽度8 比特;( 4)存储器的种类为寄存器即可,具有读写功能;( 5) avalon 总线接口为slave ;(6)下载验证时要和CPU一同实现相应的功能,软件进行读写操作。
进度安排:本课程设计持续10 天,其中最后一天( (依例周5)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的仿真;第8-9天:约束设计,与CPU集成综合、下载,用c语言实现操作,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限 2 人:共同进行电路和验证方案设计, 1 人逻辑电路设计与仿真,另1人系统集成及软件代码设计3. 可控脉冲发生器内容及要求:实现周期、占空比均可调的脉冲发生器。
(1)采用1khz 的工作时钟;(2)脉冲周期0.5s~6s ,占空比10%~90%;(3)可初始化:周期 2.5s ,占空比50%;进度安排:本课程设计持续10 天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并进行代码的仿真;第8-9 天:完成仿真,约束设计,综合、下载,验证设计,指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限 1 人4. 8bit 序列检测器内容及要求:完成从2bit 输入码流中检测特定8bit 数据的电路,具体要求如下:(1)输入2bit码流,msb在前,4个周期的数据组成一个结构化字节;( 2)检测序列0x7e ;( 3)成功检测到特定序列后,点亮一个LED;( 4)操作中采用开关作为数据输入,按键作为有效数据指示信号;( 5)工作时钟选择1k 即可;( 6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。
进度安排:本设计持续10天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限 1 人5. 出租车计价器电路内容及要求:完成简易出租车计价器设计,选做停车等待计价功能。
(1)起步8 元/3 公里,此后 1 元/550 米;(2)里程指示信号为每前进50 米一个高电平脉冲,上升沿有效;(3)工作时钟1khz ;(4)前进里程开始之前显示价钱,精确到0.1 元;(5)停车后,显示价钱和精确到100 米的里程;(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。
进度安排:本设计持续10 天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现6. 数码锁设计内容及要求完成一简易密码锁的设计,实现8 位密码的设定与开锁。
(1)4x4 的键盘进行密码输入,由左到右、由上到下的顺序:1/2/3/4 ,5/6/7/8 ,*/9/0/# ;(2)密码初始值为55555555;开锁方式:xxxxxxxx# (x 代表密码数字,位数大于等于 1 位小于等于8 位);密码设定方式:*yyyyyyyy*yyyyyyyy (y 旧密码,输入两次,正确时数码管有提示),然后输入#xxxxxxxx#xxxxxxxx#xxxxxxxx (x 为新密码,连续 3 次,正确/ 错误时有提示);(3)工作时钟1khz ;连续 6 次输错密码则锁死,只有重启电路;连续 3 次错误点亮警报灯,1次正确点亮指示灯;(4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。
进度安排:本设计持续10 天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现7. 7 分频时钟产生电路内容及要求完成7 分频电路。
(1)将输入时钟进行7 分频;(2)工作时钟1hz ;(3)分频信号点亮LED工作时钟0~7计数显示于数码管;(4)复位时分频信号无输出;(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。
进度安排:本设计持续10 天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限 1 人,题目简单,成绩受限8. 简易交通灯控制电路内容及要求完成简单十字路口直行的交通灯控制电路设计。
(1)十字路口,南北方向红:黄:绿为20s:5s:40s 且可调;(2)工作时钟10hz ;(3)各个方向的红黄绿等可用 3 个单色灯替代;(4)选做黄灯1hz 闪烁;(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。
进度安排:本设计持续10 天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限2人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现9. 同步FIFO 的设计内容及要求完成4bit 宽、256 深的同步FIFO。
(1)用开关作为输入数据,按键作为数据有效指示或者写信号;(2)数码管作为输出,按键作为读信号;(3)满、空指示驱动LED;(4)工作时钟100k 即可;(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。
进度安排:本设计持续10 天,其中最后一天(依例周五)为答辩时间。
第1-2 天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4 天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7 天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;第8-9 天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10 天:验收合格后进行答辩。
选题:限1人:共同进行电路和验证方案设计,1人仿真,另1人FPGA实现10. 8 位移位寄存器设计内容及要求完成8 比特双向移位寄存器的设计。
(1)并入串出时用开关作为输入数据,按键作为数据有效指示或者写信号,LED作为串行输出数据;(2)串入并出时单个开关配合按键做输入,2 数码管作输出;(3)键盘作为串入并出/并入串出、左移/ 右移控制;(4)工作时钟100Hz即可;( 5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。