建议频率计的设计 原理图+程序

合集下载

频率计设计

频率计设计

频率计的设计内容介绍:数字频率计是用来测量信号频率的装置。

它可以测量正弦波、方波、三角波和尖脉冲信号的频率。

在进行模拟、数字电路的设计、安装、调试的过程中,经常要用到频率计。

由于其用十进制数显示,测量速度快、精度高、显示直观,因此频率计得到广泛的应用。

一、设计内容及技术指标设计内容:设计用四只数码管显示结果的数字频率计。

技术指标:1、测量信号:正弦波、方波、三角波2、被测量信号频率范围:1HZ—9999HZ3、显示方式:4位十进制数显示4、时基电路由555定时器组成多谐振荡器产生的时基信号,其脉冲宽度分别为:正脉冲 1S,负脉冲0.25S二、电路原理及框图数字频率计测频率的基本原理:所谓频率,就是周期性信号在单位时间(1s)内变化的次数。

若在一定时间间隔T 内测得这个周期性信号的重复变化次数为N,则其频率可表示为:f=N/T (1)图1(a)是数字频率计的组成框图。

被测信号vx经放大整形电路变成计数器所要求的脉冲信号I,其频率与被测信号的频率fx相同。

时基电路提供标准时间基准信号II,其高电平持续时间t1=1s,当l秒信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到l秒信号结束时闸门关闭,停止计数。

若在闸门时间1s内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。

逻辑控制电路的作用有两个:一是产生锁存脉冲IV,使显示器上的数字稳定;二是产生清“0”脉冲V,使计数器每次测量从零开始计数。

各信号之间的时序关系如图1(b)所示。

I所谓频率,就是周期性信号的在单位时间(1s )内变化的次数,若在一定时间间隔T 内测得这个周期性信号的重复变化次数为N ,则其频率可表示为:T N f = (2.2.1)上图是数字频率计的结构框图。

被测信号X V 经放大整形电路变成计数器所要求的脉冲信号I ,其频率与被测信号的频率x f 相同。

时基电路提供标准时间基准信号II ,其高电平持续的时间s t 11=,当s 1信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到s 1信号结束时闸门关闭,停止计数。

数字逻辑--数字频率计的设计

数字逻辑--数字频率计的设计

滁州学院之宇文皓月创作课程设计陈述课程名称:数字逻辑课程设计设计题目:数字频率计的设计系别:网络与通信工程系专业:网络工程组别:第四组起止日期:2012年5月28日~ 2012年6月 22日指导教师:计算机与信息工程学院二○一二年制课程设计任务书目录1 引言12 设计要求12.1题目12.2系统结构要求12.3制作要求12.4扩展指标12.5运行环境12.6设计条件12.7元件介绍2①计数显示器2② 74160N3③ 7473N4④ XFG143 整体设计方案54 详细分析64.1单元电路设计6 4.2控制电路64.3关于JK触发器7 4.4测试85 调试与操纵说明85.1第一次仿真95.2第二次仿真95.3第三次仿真10 5.4第四次仿真106 课程设计总结117 致谢118 参考文献121 引言数字频率计是近代电子技术领域的重要丈量工具之一,同时也是其他许多领域广泛应用的丈量仪器。

数字频率计是在基准时间内把丈量的脉冲数记录下来,换算成频率并以数字的形式显示出来。

数字频率计应用于丈量信号(方波、正玄波或其他周期信号)的频率,并用十进制数显示。

它具有精度高、丈量速度快、读数直观、使用方便等优点。

2 设计要求2.1题目频率计主要用于丈量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。

其扩展功能可以丈量信号的周期和脉冲宽度。

①频率丈量范围:1HZ~10HZ。

②数字显示位数:四位静态十进制数显示被测信号的频率。

2.2系统结构要求数字频率计的整体结构要求如图所示。

图中被测信号为外部信号,送入丈量电路进行处理、丈量,档位转换用于选择测试的项目—频率、周期或脉宽,若丈量频率则进一步选择档位2.3制作要求①被测信号波形:正弦波、三角波和矩形波。

②丈量频率范围:1Hz~10kHz。

③丈量周期范围:0.1ms~1s。

④丈量脉宽范围:0.1ms~1s。

⑤丈量精度:显示4有效数字(要求分析1Hz、1kHz和10kHZ丈量误差)。

数字频率计设计(PCB图+电路图+源程序)-课程设计

数字频率计设计(PCB图+电路图+源程序)-课程设计

数字频率计设计(PCB图+电路图+源程序)-课程设计数字频率计设计开题报告选题意义及国内外发展状况本课题主要研究如何用单片机来设计数字频率计。

因为在电子技术中,频率的测量十分重要,这就要求频率计要不断的提高其测量的精度和速度。

在科技以日新月异的速度向前发展,经济全球一体化的社会中,简洁、高效、经济成为人们办事的一大宗旨。

在电子技术中这一点表现的尤为突出,人们在设计电路时, 都趋向于用尽可能少的硬件来实现, 并且尽力把以前由硬件实现的功能部分, 通过软件来解决。

因为软件实现比硬件实现具有易修改的优点, 如简单地修改几行源代码就比在印制电路板上改变几条连线要容易得多, 故基于微处理器的电路往往比传统的电路设计具有更大的灵活性。

单片机就属于这一类设计电路,单片机因其功能独特和廉价已在全球有数???千种成功的范例, 在国内也开发出了充电器、空调控制器、电子定时器、汽车防盗器、卫星接收机以及各种智能仪表等实用产品。

频率计也是单片机的一种很重要的应用, 价格低廉且具有实际意义。

虽然使用逻辑分析仪也可以很好的测量信号的频率等参数,但其价格太昂贵。

实现测量的数字化、自动化、智能化已成为各类仪表设计的方向,而由单片机控制的、全自动的、数字显示的频率计就符合这一设计理念。

说到用单片机设计的频率计,这里说一下单片频率计ICM7216D。

单片频率计ICM7216D是美国Intersil公司首先研制的专用测频大规模集成芯片。

它是标准的28引脚的双列直插式集成电路,采用单一的+5V稳压电源工作。

它内含高频振荡器、10进制计数器、7段译码器、位多路复用器、能够直接驱动LED显示器的8段段码驱动器、8位位码驱动器。

其基本的测频范围为DC至10MHz,若加预置的分频电路,则上限频率可达40MHz或100MHz,单片频率计ICM7216D只要加上晶振、量程选择、LED显示器等少数器件即可构成一个DC至40MHz的微型频率计,可用于频率测量、机械转速测量等方面的应用。

简单数字频率计的设计与制作

简单数字频率计的设计与制作

简单数字频率计的设计与制作1结构设计与方案选择1.1设计要求(1)要求用直接测量法测量输入信号的频率(2)输入信号的频率为1~9999HZ1.2设计原理及方案数字频率计是直接用十进制的数字来显示被测信号频率的一种测量装置。

它不仅可以测量正弦波、方波、三角波和尖脉冲信号的频率,而且还可以测量它们的周期。

所谓频率就是在单位时间(1s)内周期信号的变化次数。

若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为f=N/T(1-1)据此,设计方案框图如图1所示:图1 数字频率计组成框图图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被。

时间基准信号发生器提供标准的时间脉冲信号,若其周期为测信号的频率fX1s,则们控电路的输出信号持续时间亦准确的等于1s。

闸门电路由标准秒信号进行控制当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数器译码显示电路。

秒信号结束时闸门关闭,技计数器得的脉冲数N是在1秒时间内的累计= N Hz。

数,所以被测频率fX被测信号f经整形电路变成计数器所要求的脉冲信号○1,其频率与被测信X号的频率相同。

时基电路提供标准时间基准信号○2,其高电平持续时间t1=1 秒,当l秒信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到l秒信号结束时闸门关闭,停止计数。

若在闸门时间1s内计数器计得的脉冲个数为N,则被测信号频率f=NHz,如图2(a)所示,即为数字频率计的组成框图。

图2(a)数字频率计的组成框图图2(b)数字频率计的工作时序波形逻辑控制单元的作用有两个:其一,产生清零脉冲④,使计数器每次从零开始计数;其二,产生所存信号⑤,是显示器上的数字稳定不变。

这些信号之间的时序关系如图2(b)所示数字频率计由脉冲形成电路、时基电路、闸门电路、计数锁存和清零电路、译码显示电路组成。

1.3数字频率计的主要技术指标1.3.1 频率准确度:一般用相对误差来表示,本文设计的频率准确度并没有要求。

简易频率计的设计已修改

简易频率计的设计已修改

简易频率计的设计中文摘要频率测量是电子学测量中最为基本的测量之一。

频率计主要是由信号输入和放大电路、单片机模块、及显示电路以及通过串口和PC机通信模块组成。

STC89S52单片机是频率计的控制核心,来完成它待测信号的计数,译码,显示以及通过串口和PC机通信。

利用它内部的定时/计数器完成待测信号频率的测量。

在整个设计过程中,所制作的频率计采用外部计数,实现1~60KHz,峰峰值在1~10V 的频率测量。

以STC89S52单片机为核心,通过单片机内部定时/计数器的门控时间,方便对频率计的测量。

其待测频率值使用五位共阴极数码管显示。

本次采用单片机技术设计一种数字显示的频率计,具有测量准确度高,响应速度快,体积小等优点。

关键词:频率计;单片机;计数器;RS232前言频率测量是电子学测量中最为基本的测量之一。

由于频率信号抗干扰性强,易于传输,因此可以获得较高的测量精度。

随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,测频原理和测频方法的研究正受到越来越多的关注。

1.1频率计概述数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。

它是一种用十进制数字显示被测信号频率的数字测量仪器。

它的基本功能是测量正弦信号、方波信号及其他各种单位时间内变化的物理量。

在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。

传统的频率计采用测频法测量频率,通常由组合电路和时序电路等大量的硬件电路组成,产品不但体积大,运行速度慢而且测量低频信号不准确。

本次采用单片机技术设计一种数字显示的频率计,测量准确度高,响应速度快,体积小等优点[1]。

1.2频率计发展与应用在我国,单片机已不是一个陌生的名词,它的出现是近代计算机技术的里程碑事件。

单片机作为最为典型的嵌入式系统,它的成功应用推动了嵌入式系统的发展。

单片机已成为电子系统的中最普遍的应用。

基于AT89C51单片机频率计的设计(含程序)

基于AT89C51单片机频率计的设计(含程序)

AT89C51单片机频率计的设计摘要基于在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。

由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。

因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。

频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。

随着微电子技术和计算机技术的迅速发展,特别是单片机的出现和发展,使传统的电子侧量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化,形成一种完全突破传统概念的新一代侧量仪器。

频率计广泛采用了高速集成电路和大规模集成电路,使仪器在小型化、耗电、可靠性等方面都发生了重大的变化。

目前,市场上有各种多功能、高精度、高频率的数字频率计,但价格不菲。

为适应实际工作的需要,本次设计给出了一种较小规模和单片机(AT89C51)相结合的频率计的设计方案,不但切实可行,而且体积小、设计简单、成本低、精度高、可测频带宽,大大降低了设计成本和实现复杂度。

频率计的硬件电路是用Ptotues绘图软件绘制而成,软件部分的单片机控制程序,是以KeilC做为开发工具用汇编语言编写而成,而频率计的实现则是选用Ptotues仿真软件来进行模拟和测试。

关键词:单片机;AT89C51;频率计;汇编语言选题的目的意义数字频率计的主要功能是测量周期信号的频率。

其基本原理就是用闸门计数的方式测量脉冲个数。

频率是单位时间( 1s )内信号发生周期变化的次数。

如果我们能在给定的 1s 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。

数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。

频率计原理图及调试过程

频率计原理图及调试过程

调试过程:(1):时基电路的设计。

(2):整形电路的设计。

(3):分频电路的设计。

(4):单稳态触发电路的设计。

(5):锁存译码显示电路的设计。

整个试调过程由以上五个部分组成。

一.首先是时基电路部分,此电路的目的是产生1KHZ的方波信号,信号分频后用来产生单位脉冲信号,以此打开闸门,让外部整形后的脉冲通过,电路如下:在此需要注意的是R1和C1的数值,特别是R1的阻值,一定要在1k左右,不然在后面的单稳态触发器那就会出问题,因为R1会影响冲电时间,进而影响到正脉冲占空比,到后面的单稳态触发器,由于电容充电时间太短而达不到阈值,而无法发生电平翻转,开锁存和74LS90的清零信号无法产生。

调整100K电位器是3脚产生1kHZ的脉冲,具体要根据后面整体测试时与函数发生器对照来调整误差。

还有一点值得注意的是,此单元电路的GND必须与分频及其它电路的GND共在一起。

二.整形电路的试调。

整形电路分为两部分:放大器部分和施密特触发器部分:此部分的电路比较简单,左边为射极偏置放大电路,此电路可以有效的稳定Q点,射极旁路偏置电容C2的作用至关重要,555构成了施密特触发器,从而有效的将三角波,正弦波等转换成方波信号,如果仔细连接线路此部分应该没什么问题!三.分频部分电路设计。

电路图如下:分频电路的原理比较简单,74LS90为二五十计数器,在此我们将其用作十进制计数器,脉冲经过第一级计数器后被十分频,然后依次十分频,1KHZ输入,输出1HZ。

四.单稳态触发及JK触发电路。

电路如下:此电路的目的是产生锁存控制信号和74LS90清零信号,为了确保锁存信号在清零信号之前产生,我们使用了74LS221 ,第二路信号是由第一路信号的输出触发,正是利用单稳态触发器的延迟特性,从而达到了我们的目的!值得注意的是输入正脉冲的占空比,也就是Cext 的充电时间必须严格控制,不然很可能无法达到单稳态触发器的阈值。

五:最后为锁存译码及显示部分。

简易数字频率计设计报告

简易数字频率计设计报告

根据系统设计要求, 需要实现一个 4 位十进制数字频率计, 其原理框 图如图 1 所示。

主要由脉冲发生器电路、 测频控制信号发生器电路、 待测 信号计数模块电路、 锁存器、 七段译码驱动电路及扫描显示电路等模块组 成。

由于是4位十进制数字频率计, 所以计数器CNT10需用4个,7段显示译 码器也需用4个。

频率测量的基本原理是计算每秒钟内待测信号的脉冲个 数。

为此,测频控制信号发生器 F_IN_CNT 应设置一个控制信号时钟CLK , 一个计数使能信号输出端EN 、一个与EN 输出信号反 向的锁存输出信号 LOCK 和清零输出信号CLR 。

若CLK 的输入频率为1HZ ,则输出信号端EN 输出 一个脉宽恰好为1秒的周期信号, 可以 作为闸门信号用。

由它对频率计的 每一个计数器的使能端进行同步控制。

当EN 高电平时允许计数, 低电平时 住手计数,并保持所计的数。

在住手计数期间,锁存信号LOCK 的上跳沿 将计数器在前1秒钟的计数值锁存进4位锁存器LOCK ,由7段译码器译出 并稳定显示。

设置锁存器的好处是: 显示的数据稳定, 不会由于周期性的标准时钟 CLKEN待测信号计数电路脉冲发 生器待测信号F_INLOCK锁存与译 码显示驱 动电路测频控制信 号发生电路CLR扫描控制数码显示清零信号而不断闪烁。

锁存信号之后,清零信号CLR对计数器进行清零,为下1秒钟的计数操作作准备。

时基产生与测频时序控制电路主要产生计数允许信号EN、清零信号CLR 和锁存信号LOCK。

其VHDL 程序清单如下:--CLK_SX_CTRLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CLK_SX_CTRL ISPORT(CLK: IN STD_LOGIC;LOCK: OUT STD_LOGIC;EN: OUT STD_LOGIC;CLR: OUT STD_LOGIC);END;ARCHITECTURE ART OF CLK_SX_CTRL ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF(CLK'EVENT AND CLK='1')THENIF Q="1111"THENQ<="0000";ELSEQ<=Q+'1';END IF;END IF;EN<=NOT Q(3);LOCK<=Q(3)AND NOT(Q(2))AND Q(1);CLR<=Q(3)AND Q(2)AND NOT(Q(1));END PROCESS;END ART;测频时序控制电路:为实现系统功能,控制电路模块需输出三个信号:一是控制计数器允许对被测信号计数的信号EN;二是将前一秒计数器的计数值存入锁存的锁存信号LOCK;三是为下一个周期计数做准备的计数器清零信号CLR。

简易数字频率计--电路CAD课设

简易数字频率计--电路CAD课设

电路CAD课程设计报告设计题目:简易数字频率计专业班级:电子信息0701学号:学生姓名:同组学生:简易数字频率计摘要在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分紧密的联系,因此频率的测量就显得更为重要。

测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是测量频率的重要手段之一。

电子计数器测频有两种方式:一是直接测频法;二是间接测频法,如周期测频法。

本文阐述了基于通用集成电路设计了一个简单的数字频率计的过程。

AbstractBe one of the most fundamnetal parameter in electron technology medium frequency, parameter measurement scheme,measurement result all have very close something to do with a lot of electricity and,the frequency measurement looks like being more important therefore right away.The method measuring frequency has various,among them the electronic counter measures frequency having accuracy height,usage is conveient, measurement is prompt,easy to realize measurement process automation waits for merit and,is one of the important means that frequency measures.The electronic counter frequency having two kinds way:Measure frequency law first directly;Two is indirect measure frequency law,if the period measure frequency law.目录一、设计任务与要求 (3)二、方案设计与论证 (3)三、单元电路设计与参数计算 (4)1. 我所设计的电路单元 (4)2.各部分单元电路原理图 (5)3. 计频电路部分 (6)4. 计频电路部分电路原理图 (7)5. 单元电路总结 (8)四、总原理图及元器件清单 (9)1.总原理 (9)2.说明 (10)3.元器件清单 (10)五、结论与心得 (10)六、设计后思考 (10)参考文献 (11)一、设计任务与要求设计一个简易数字频率,该频率计测量频率小于10kHz。

简易频率计设计

简易频率计设计

单片机课程设计——简易频率计数器的设计简易频率计数器的设计一、设计要求自制一个单片机最小系统,包括串口下载、复位电路,采用外部计数器T0或T1作为外部频率输入,外部频率由信号源提供,计算出来的频率显示在四位一体的数码管上。

二、设计原理及步骤51单片机有40个引脚,都有对应的功能。

先设计一个最小系统,9号位接一个复位电路,能够将数码管显示清零。

在通过时钟引脚18、19脚接一个振荡电路,用来控制时钟信号。

1S跳动的次数就是频率,在频率计数器中必须要有一个定时和计数功能,设置T0计数,T1定时,部T1定时50MS,T0计数20次,通过外部中断产生的信号就是对应的频率。

用几个三态门作为总线的驱动器,而74LS244芯片可以起到这个作用,排阻有稳定电路和保护电路的功能,然后连接到四位数码显示管,通过引脚的一一对应和相应功能显示出来。

这样就完成了整个设计。

三、设计原理图及仿真用PROTEUS7.2软件设计,找到自己需要的元件,按照自己的构思设计连接好实线,而与原理图对应的程序则通过KEILC51生成的HE*文件在仿真中参加C51芯片中。

根据原理设计仿真测试结果:数码管和示波器显示的频率一致,满足设计要求四、程序设计*include<reg51.h>bit int_flag;unsigned char volatile T0Count; unsigned char volatile T1Count; unsigned char code table[] ={0*3f,0*06,0*5b,0*4f,0*66,0*6d,0*7d,0*07,0*7f,0*6f};unsigned char code temp[] = {0*fe,0*fd,0*fb,0*f7};unsigned long sum;unsigned char Led[4];void delay(unsigned int num ){while(--num);}void init(void){TMOD=0*51; //T1定时,T0计数TH0=(65536-50000)/256; //定时50msTL0=(65536-50000)%256;TH1=0*00;TL1=0*00;}void disp(void){unsigned char i;for(i=0;i<4;i++){P2=temp[i];//片选P0=table[Led[i]]; //取数据显示delay(100); //延时1毫秒}}void main(void){EA=1;init();TR0=1;TR1=1;ET1=1;ET0=1;while(1){if(int_flag==1){int_flag=0;sum=TL1+TH1*256+T1Count*65536;//计算脉冲个数Led[0]=sum%10000/1000;//显示千位Led[1]=sum%1000/100;//显示百位Led[2]=sum%100/10;//显示十位Led[3]=sum%10;//显示个位T0Count=0*00;T1Count=0;TH1=0*00;TL1=0*00;TR1=1;}disp();}}void int_t0(void) interrupt 1{ TH0=(65535-50000)/256; TL0=(65536-50000)%256; T0Count++;if(T0Count==20){TR1=0;int_flag=1;T0Count=0*00;}}void int_T1(void) interrupt 3{T1Count++;}六、设计体会在做课程设计的过程中,我进一步认识到全面专业知识以及逻辑思考方式对研究问题的重要性,同时我更加具体的掌握了课程设计的根本方法。

简单频率计的制作

简单频率计的制作

一.设计的基本原理和框图1.1基本原理:数字频率计是用数字显示被测信号的频率的仪器,被测信号可以是正弦波,方波或者其他周期性变化的信号,它的基本原理是时基信号发生器提供标准的时基脉冲信号,若其周期为1s则门控电路的输出信号持续时间亦准确到1s。

闸门电路有标准秒信号控制,当秒信号到来时闸门开通,信号通过闸门送到计数译码显示电路,秒信号结束时闸门关闭,计数器停止计数,由于计数器记得脉冲数N的是一秒内的累积数,所以被测频率是NHZ。

闸门时间可以取大于或者小于1秒的值,测得的频率时间间隔与闸门时间的取值成正比,在这里取的闸门时间为1s。

在此,数字频率计由分频器,片选电路,计数器,锁存器,译码电路和显示电路作为主要组成部分。

1.2设计框图如图1.1所示:图2.2 片选信号电路图2.3计数器模块计数器模块为该电路中的核心模块,它的功能是:当门信号为上升沿时,电路开始计算半个周期内被测信号通过的周期数,到下升沿后结束。

然后送给锁存器锁存。

计数器电路图如图2.3所示:图2.3 计数器电路图2.4锁存器模块在分频信号的下降沿到来时,锁存器将计数器的信号锁存,然后送给编译模块中。

其电路图如图2.4所示:图2.4 锁存器电路图2.5译码信号模块此模块是对四个锁存器进行选择,按顺序的将四个锁存器中的数值送给译码模块中译码。

其电路图如图2.5图2.5 译码信号电路图2.6片选模块该模块接收到片选信号后,输出给显示器,选择显示那个显示管。

其电路图如图2.6所示:图2.6 片选电路图2.7译码模块译码模块的作用就是将译码信号模块中选择出的信号进行译码,并将其送给显示器。

其电路图如图2.7所示:图2.7 译码电路图2.8总电路图图2.8总电路图三.编程下载3.1分频模块的程序library ieee;use ieee.std_logic_1164.all;entity fen isport(clk:in std_logic;q:out std_logic);end fen;architecture fen_arc of fen isbeginprocess(clk)variable cnt:integer range 0 to 9;variable x:std_logic;beginif clk'event and clk='1'then if cnt<9 thencnt:=cnt+1;elsecnt:=0;x:=not x;end if;end if;q<=x;end process;end fen_arc;3.2片选信号模块的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel isport(clk:in std_logic;q:out std_logic_vector(2 downto 0));end sel;architecture sel_arc of sel isbeginprocess(clk)variable cnt:std_logic_vector(2 downto 0);beginif clk'event and clk='1' thencnt:=cnt+1;end if;q<=cnt;end process;end sel_arc;3.3计数器模块的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity corna isport(clr,sig,door:in std_logic;alm:out std_logic;q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0)); end corna;architecture corn_arc of corna isbeginprocess(door,sig)variable c3,c2,c1,c0:std_logic_vector(3 downto 0); variable x:std_logic;beginif sig'event and sig='1' thenif clr='0' thenalm<='0';c3:="0000";c2:="0000";c1:="0000";c0:="0000";elsif door='0' thenc3:="0000";c2:="0000";c1:="0000";c0:="0000";elsif door='1' thenif c0<"1001" thenc0:=c0+1;elsec0:="0000";if c1<"1001" thenc1:=c1+1;else c1:="0000";if c2<"1001" thenc2:=c2+1;elsec2:="0000";if c3<"1001" thenc3:=c3+1;elsec3:="0000";alm<='1';end if;end if;end if;end if;end if;if c3/="0000" thenq3<=c3;q2<=c2;q1<=c1;q0<=c0;dang<="0100";elsif c2/="0000" thenq3<="0000";q2<=c2;q1<=c1;q0<=c0;dang<="0011";elsif c1/="0000" thenq3<="0000";q2<="0000";q1<=c1;q0<=c0;dang<="0010";elseq3<="0000";q2<="0000";q1<="0000";q0<=c0;dang<="0001";end if;end if;end process;end corn_arc;3.4锁存器模块的程序library ieee;use ieee.std_logic_1164.all;entity lock isport(l:in std_logic;a4,a3,a2,a1,a0:in std_logic_vector(3 downto 0);q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0));end lock;architecture lock_arc of lock isbeginprocess(l)variable t4,t3,t2,t1,t0:std_logic_vector(3 downto 0);beginif l'event and l='0' thent4:=a4;t3:=a3;t2:=a2;t1:=a1;t0:=a0;end if;q4<=t4;q3<=t3;q2<=t2;q1<=t1;q0<=t0;end process;end lock_arc;3.5译码信号模块的程序library ieee;use ieee.std_logic_1164.all;entity ch isport(sel:in std_logic_vector(2 downto 0);a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0);q:out std_logic_vector(3 downto 0));end ch;architecture ch_arc of ch isbeginprocess(sel)begincase sel iswhen "000"=>q<=a0;when "001"=>q<=a1;when "010"=>q<=a2;when "011"=>q<=a3;when "111"=>q<=dang;when others=>q<="1111";end case;end process;end ch_arc;3.6片选模块的程序library ieee;use ieee.std_logic_1164.all;entity ym isport(d:in std_logic_vector(2 downto 0);q:out std_logic_vector(7 downto 0));end ym;architecture ym_arc of ym isbeginprocess(d)begincase d iswhen "000"=>q<="00000001";when "001"=>q<="00000010";when "010"=>q<="00000100";when "011"=>q<="00001000";when "100"=>q<="00010000";when "101"=>q<="00100000";when "110"=>q<="01000000";when others=>q<="00000000";end case;end process;end ym_arc;3.7译码器模块的程序library ieee;use ieee.std_logic_1164.all;entity disp isport(d:in std_logic_vector(3 downto 0);q:out std_logic_vector(6 downto 0)); end disp;architecture disp_arc of disp isbeginprocess(d)begincase d iswhen "0000"=>q<="0111111";when "0001"=>q<="0000110";when "0010"=>q<="1011011";when "0011"=>q<="1001111";when "0100"=>q<="1100110";when "0101"=>q<="1101101";when "0110"=>q<="1111101";when "0111"=>q<="0100101";when "1000"=>q<="1111111";when "1001"=>q<="1101111";when others=>q<="0000000";end case;end process;end disp_arc;3.8顶层文件的程序library ieee;use ieee.std_logic_1164.all;entity plj isport(sig,clr,clk:in std_logic;alm:out std_logic;q:out std_logic_vector(6 downto 0);se:out std_logic_vector(7 downto 0));end plj;architecture art of plj iscomponent cornaport(clr,sig,door:in std_logic;alm:out std_logic;q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0)); end component;component fenport(clk:in std_logic;q:out std_logic);end component;component lockport(l:in std_logic;a4,a3,a2,a1,a0:in std_logic_vector(3 downto 0);q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0)); end component;component selport(clk:in std_logic;q:out std_logic_vector(2 downto 0));end component;component chport(sel:in std_logic_vector(2 downto 0);a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0);q:out std_logic_vector(3 downto 0));end component;component dispport(d:in std_logic_vector(3 downto 0);q:out std_logic_vector(6 downto 0));end component;component ymport(d:in std_logic_vector(2 downto 0);q:out std_logic_vector(7 downto 0));end component;signal t1,t2,t3,t4,t5,t6,t7,t8,t9,t10,t12:std_logic_vector(3 downto 0); signal t11:std_logic;signal t20:std_logic_vector(2 downto 0);beginu1:corna port map (clr=>clr,sig=>sig,door=>t11,alm=>alm,q3=>t1,q2=>t2,q1=>t3,q0=>t4,dang=>t5);u2: fen port map (clk=>clk,q=>t11);u3: lock port map (l=>t11,a4=>t1,a3=>t2,a2=>t3,a1=>t4,a0=>t5,q4=>t6,q3=>t7,q2=>t8,q1=>t9,q0=>t 10);u4: sel port map (clk=>clk,q=>t20);u5: ch port map (sel=>t20,a3=>t6,a2=>t7,a1=>t8,a0=>t9,dang=>t10,q=>t12);u6: disp port map (d=>t12,q=>q);u7: ym port map (d=>t20,q=>se);end architecture art;四.仿真与调试4.1分频电路模块的仿真在quartus II中打开事先编译好的程序,然后建立工程文件,再打开波形图显示窗口,设置好参数,保存后编译,编译无错误既可以生成电路图。

频率计的设计

频率计的设计

设计方案框图图2.1 方框图2.3 电路简述所谓频率,就是周期性信号在单位时间(1s) 内变化的次数.若在一定时间间隔T 内测得这个周期性信号的重复变化次数为N,则其频率可表示为fx=N/T 。

因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。

可见数字频率计主要由闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。

数字频率计的主要功能是测量周期信号的频率。

频率是单位时间(1S )内信号发生周期变化的次数。

如果我们能在给定的1S 时间内对信号波形计数,数值保持及自动清零,并将计数结果在显示器上显示出来,就能读取被测信号的频率。

数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。

这就是数字频率计的基本原理。

被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。

时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。

可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.2.4.2基准脉冲产生电路的设计与仿真1、由555定时器构成的多谐振荡器的工作原理多谐振荡器是能产生矩形脉冲波的自激振荡器,由于矩形脉冲波中除基波外,还有丰富的谐波成分,故得名多谐振荡器。

多谐振荡器没有稳态,只有两个暂态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

频率计设计全过程

频率计设计全过程

频率计设计全过程一、前言本文以AT89C51单片机为控制器件的频率测量方法,并用汇编语言进行设计,采用单片机智能控制,结合外围电子电路,得以高低频率的测量。

根据频率计的特点,可广泛应用于各种测试场所。

二、系统概述本文设计了一种基于单片机的简易数字频率计。

(一)系统设计任务设计一简易数字频率计,其基本要求是: (1)被测信号可以是正弦波、三角波、方波。

(2)频率测量范围为0.1HZ-10MHZ信号。

(3)频率测量准确度:公式。

(4)显示方式为六位十进制数显示。

(5)使用PROTEUS软件进行仿真。

(二)系统组成频率计由单片机AT89C51、信号预处理电路、测量数据显示电路和系统软件所组成,其中信号预处理电路包含待测信号放大、波形变换、波形整形和分频电路。

系统软件包括测量初始化模块、显示模块、信号频率测量模块、量程自动转换模块、信号周期测量模块、信号定时器中断服务模块、二进制数到BcD码转换模块。

(三)系统原理频率的定义是:单位时间(1S)内周期信号的变化次数。

若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为f=N/T。

本频率计的设计以AT89C51单片机为核心,利用它内部的定时/计数器完成待测信号频率、周期的测量。

单片机AT89C51内部具有2个16位定时/计数器,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出中断要求的功能。

在构成为定时器时每个机器周期加1(使用12MHZ时钟时,每IUS加1),这样以机器周期为基准可以用来测量时间间隔。

在构成计数器时,在相应的外部引脚发生从1到0的跳变时计数器加1,这样在计数闸门的控制下可以用来测量待测信号的频率,外部输入每个机器周期被采样一次,这样检测一次从1到0的跳变至少需要2个机器周期(24个振荡周期),所以最大计数速率为时钟频率的1/24(使用12MHZ时钟时,最大计数速率为500KHZ)。

定时/计数器的工作由相应的运行控制位TR控制,当TR置1,定时/计数器开始计数;当TR清0,停止计数。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

in various parts of to achieve the entire circuit signal frequency
acquisition, measurement and conversion data, and display the final results
feature, combined with the external electronic circuit can be frequency
system simulation software part of the microprocessor control program
written using C language in this. The biggest advantage of this system is
its structure is simple, the production is not too troublesome, the drawback
microcontroller output to the appropriate electronic display elements
displayed in decimal.
This paper focuses on AT89C52 microcontroller for control of the system
4.2.1 频率周期测量流程图............................................................................................................ 19 4.2.2 总流程图 ............................................................................................................................... 20
Keywords: SCM AT89C52 circuit schematic
frequency measurement
cycle measurement
II
目录
目录
摘 要 ...............................................................I
第二章 数字频率计的设计 ..............................................4
2.1 设计的任务和要求............................................................................................ 4 2.2 总体框架和测量方案 ...................................................................................................................... 4
a simple frequency meter. The test signal is unknown, it may be a sine wave,
there is a triangle wave, there may be a square wave. Converted to a test
signal through the Schmitt trigger pulse signal can count, count by the
2.2.1 测量方法论证......................................................................................................................... 6 2.2.2 计时方案选择......................................................................................................................... 9
3.5.1 LED 方案 ............................................................................................................................... 17 3.5.2 LCD1602 方案........................................................................................................................ 17
related to the frequency measurement, and frequency of accurate measurement
of obvious importance.
In this design on a frequency measurement principle and method of making
中国•达州 2012 年 月
摘要
频率是电子技术领域中最基本的参数之一,在许多测量方案以及测量结果中 都会涉及到频率测量的相关问题,频率精确测量的重要性显而易见。
在本设计中就介绍了频率测量的原理以及一种简易频率计的制作方法。由于 待测信号未知,它有可能是正弦波,有可能是三角波,也有可能是方波。通过施 密特触发器把待测信号转换为可以计数的脉冲信号,再由单片机计数输出到合适 的电子显示元件以十进制显示出来。
ABSTRACT ............................................................II
第一章 绪论...........................................................1
1.1 频率计应用概述 ............................................................................................................................ 1 1.2 数字频率计系统介绍 .................................................................................................................... 2
and period of measurement, and use decimal numbers to display of the
measured signal frequency and period. The digital hardware part of the
frequency meter using the PROTEUS the ISIS draw, the PROTEUS, and KEIL do
第三章 硬件电路的设计及仿真 .........................................10
3.1 整体电路介绍................................................................................................................................ 10 3.2 信号整形电路................................................................................................................................ 10 3.3 单片机最小系统设计.................................................................................................................... 12 3.4 施密特触发器整形仿真与功能仿真............................................................................................. 13 3.5 显示方案........................................................................................................................................ 17
is vulnerable to its own circuit elements, as well as the surrounding
environment, resulting in the deviation of measurement results and the
actual value.
关键词:单片机 AT89C52 电路原理 频率测量 周期测量
I
ABSTRACT
Frequency is one of the basic parameters in the field of electronic
technology, many measurement programs, as well as measurement results are
本文重点介绍了以 AT89C52 单片机为对系统各个部件的控制来实现整个电路 的信号频率采集、测量、转换数据、以及显示最终结果的功能,结合外围电子电 路得以频率和周期的测量,并用十进制数字来显示被测信号的频率和周期。本数 字频率计的硬件部分是采用 PROTEUS ISIS 绘制的,PROTEUS 和 KEIL 联合做系 统仿真,软件部分的单片机控制程序的编写使用的是 C 语言本。本系统的最大优 点就是它结构简单易懂,制作起来也并不算麻烦,其缺点就是容易受自身电路元 件以及周围环境的影响,从而导致测量结果与实际值的偏差。
相关文档
最新文档