二十四小时制数字钟系统设计
24小时制多功能数字钟
24小时制多功能数字钟校电子设计大赛设计与总结报告(题目:多功能数字钟)一、任务与要求任务:设计制作一个24小时制多功能数字钟。
要求: a.基本要求1、具有时间设置(小时和分钟)、闹钟时间设置、闹钟开、闹钟关功能。
2、数字显示小时、分钟,有AM、PM指示器,闹钟就绪灯,蜂鸣器。
3、220V供电。
b.发挥部分1、键盘切换现场环境温度显示。
(0~60℃ 1℃)2、键盘切换电网频率、电压显示。
3、电压欠压、过压报警(~220V+/—10%)功-能。
4、非接触止闹功能。
二、方案比较及作品模块介绍多功能数字钟,具有时钟时间设置、闹钟时间设置、闹钟开、闹钟关等功能,数字显示日期、小时、分钟、秒,有AM、PM指示器,闹钟就绪灯(由指示灯指示是否设有闹钟)、蜂鸣器。
可用键盘切换现场温度、电网频率、电压等,能动态刷新显示以上各测量参数。
还可以进行电压欠压、过压报警(220V±10%)。
当闹钟启动后,可以通过遥控器遥控止闹。
电子钟的设计包括以下几部分:时钟模块、键盘输入模块、电网频率测量模块、电网电压测量模块、电源模块、环境温度测量模块、红外遥控关闹钟模块、单片机控制模块、1602液晶显示模块等。
2.1 时钟模块时钟模块主要由单片机AT89S52内部的定时器/计数器来实现,他的处理过程主要是先设定单片机内部的一个定时器/计数器工作于定时方式,对机器周期计数形成基准时间,然后用另外一个定时器软件计数的方法对基准时间形成秒,秒计60次形成分,分计60次形成小时。
然后通过液晶把他们的内容显示在相应位置出来即可。
在具体处理时,定时器/计数器采用中断方式工作,对时钟的形成在中断服务程序中实现。
在主程序中只需要对定时器/计数器初始化、调用显示子程序和控制子程序。
另外,为了使用方便,设计了简单的按键,可以通过按键实现时、分的调整,这样在主程序中就加入了键盘设置子程序。
在更新周期内,芯片内部时标寄存器数据处于更新阶段,故在该周期内,微处理器不能读芯片时标寄存器的内容,否则将得到不确定数据。
数字钟时钟电路图设计课设
一、概述现今,酒店已成为生活中不可缺少的一部分,是很多旅行者休息的场所。
该课程设计是关于简易酒店客房控制器的设计,主要包括四个方面,24小时时钟的设计,客房服务控制,客房照明控制以及简易稳压直流电源的设计。
数字钟实际上是两个60,一个24进制的计数电路。
由于计数的起始时间有时不与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。
稳压电源的设计是将220v 的交流电转换5v 的直流电,既可以给多谐振荡器供电,又可以给照明,客房服务进行控制。
二、方案设计通过对简易酒店客房控制器设计要求的分析,知道设计应分为4部分:24小时时钟设计、稳压直流电源设计、客房服务控制、客房照明控制。
原理方框图如图1所示:图1 简易酒店客房控制器原理方框图1、直流稳压电源变压器将220v 交流电降到12v ,再通过桥式整流电路,实现整流,然后经过电容,实现滤波;最后通过三端稳压器7805,实现稳压输出+5V 电压。
其原理框图如图2所示:图2 直流稳压电源原理框图220v 交流电变压器桥式整流电路低通滤波5 V 稳定电压三端稳压器220V 交流电变压器24小时可控数字时钟客房服务控制客房照明控制5V 直流电源2、24小时可控数字时钟通过分析,可知需要以数字形式显示时、分、秒的时间和校时功能。
要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。
通过对24小时可控数字时钟仔细分析,可知它由二个60进制计数器,一个24小时计数器,6个显示译码器,6个数码管及3个校正电路组成。
由555多谐振荡器发出1HZ 的秒脉冲信号,传给第一个60进制计数器,然后依次传给第二个60进制计数器,24进制计数器,通过译码显示给数码管,从而读出时间。
值得注意的是:任何计时装置都有误差,因此应考虑校准时间电路。
24小时可控数字时钟原理框图如图3所示:图3 24小时可控数字时钟原理框图3、客房服务控制通过开关输入信号从而控制“请即清理”,“请勿打扰”。
24小时数字时钟设计
转功能(初始为零)
时钟
分钟 进位 信号
分钟
秒钟 进位 信号
秒钟
秒脉冲
图 1 基本原理图 三、 功能描述: 1. 555 定时器秒脉冲产生电路
图 2 秒脉冲产生电路及波形图
2/8
理论计算秒脉冲周期T 0.7 (2R 7 R 6 ) C 4 1.041s
2010 至 2011 学年度下学期
《电子线路 CAD》课程设计
24 小时数字时钟设计
1/8
24 小时数字时钟设计
一、 设计内容: 设计 24 小时数字时钟,要求如下: 1. 具有时、分、秒的十进制数字显示的计时器 2. 具有手动校时、校分功能 3. 通过开关实现小时的 12 进制和 24 进制转换 4. 具有整点报时功能,如 3 点钟响 3 声 二、 基本原理 多谐振荡器、计数器、触发器、门电路以及相关的模拟电路、数字电路知识。
非门 与非门 电阻 电容 单刀双掷开关 电源 五、
14 2 (四输入) ,2 (二输入) 2 (10k 、9.76k ) 2(50nF、100Nf) 3 若干
实验总原理图 运行测试: 1、实验前,开关 J5、J6 处于低电平状态。 2、启动仿真开关后,闭合 T 键,获取秒脉冲电路,计时开始。 3、按下空格键(设置此键目的在于避免报警器在初始状态鸣响) ,使报警 电路处于接通状态,到达整点时,自动实现整点报时。 4、按下 Z 键实现 12 进制和 24 进制转换。 5、按下 F 键实现递增校分电路,按下 S 键实现递增校时电路。 电路优缺点分析及改进 (1) 本电路基本达到了设计要求,尤其是校时、校分电路只用一个单刀 双掷开关即可实现,简单、方便。报警电路设计思路清晰,巧妙利 用分钟进位信号和秒钟时钟相同信号,实现报警。 (2) 本电路也有一些问题。首先,本电路可实现 12/24 进制转换,但是 不能实现实时转换,但是可以通过增加两个计数器,用四个计数器 两两实现 12、 24 进制计数, 通过 8 个单刀双掷开关实现实时计时。
数字电子钟计时系统设计与实现指导书
数字电子钟计时系统设计与实现一、实验目的1. 掌握各类计数器及它们相连的设计方法2. 掌握多个数码管显示的原理与方法3. 学习利用EWB软件进行电路仿真的方法二、实验仪器设备1. PC机,EWB软件2. 面包板、接插线、74LS160和74LS48等电子元器件、信号发生器、万用表三、设计内容设计一个数字电子钟计时系统,要求如下:1. 数字钟以24/12小时为一个计数周期。
2. 准确计时,具有“时”(00~23)、“分”(00~59)、“秒”(00~59)数字显示。
扩展功能:校时功能、整点报时功能四、设计步骤:1.根据选题要求,进行方案比较,画出系统框图,进行初步设计。
2.设计单元电路,计算参数,选择元器件。
(1)用555定时器构成多谐振荡器,设计一个秒钟脉冲发生器;(2)用同步十进制集成计数器74160设计一个秒钟计数器和分钟计数器,即六十进制计数器。
(3)用同步十进制集成计数器74160设计一个24/12小时计数器,通过转换开关可实现二十四与十二进制数值的转换。
(4)用74LS48和LED数码管实现显示功能。
3.画出系统电路原理图初稿。
4.利用EWB软件组装调试所设计的系统电路。
5.利用面包板和相应仪器设备组装调试所设计的系统电路,修改设计中的疏漏。
6.绘制正式的系统电路图。
7.撰写报告。
五、设计成果及要求1.用A4纸打印数字电子钟计时系统仿真电路图。
2.用A4纸手绘出数字电子钟计时系统电路图。
3.报告部分要求写明设计要求及技术指标、总体设计方案的论证及选择、系统方框图及工作原理概述、各单元电路设计及工作原理叙述、设计计算及元器件选择等。
设计过程:一、概述数字电子钟的原理方框图如图所示。
该电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
数电课程设计数字钟的设计
数电课程设计数字钟的设计数电课程设计。
数字钟的设计。
1仿真电路显示时,分,秒。
2采用二十四小时制或者十二小时制。
3具有校时功能。
可以对小时和分单独校时,对分校时的时候,停止分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4具有正点报时功能,正点前10秒开始,蜂鸣器一秒响一秒停地响五次。
5为了保证计时准确,稳定,由晶体振荡器提供标准时间的基准信号。
本科生课程设计题目课程专业班级学号姓名指导教师完成时间数电课程设计。
数字钟的设计。
1仿真电路显示时,分,秒。
2采用二十四小时制或者十二小时制。
3具有校时功能。
可以对小时和分单独校时,对分校时的时候,停止分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4具有正点报时功能,正点前10秒开始,蜂鸣器一秒响一秒停地响五次。
5为了保证计时准确,稳定,由晶体振荡器提供标准时间的基准信号。
目录1设计的目的及任务 (3)1.1课程设计的目的...............................................(3)1.2课程设计的任务与要求 (3)2电路设计总方案及原理框图 (3)2.1数字电子钟基本原理...........................................(3)2.2原理框图.. (4)3.单元电路设计及元件选择 (4)3.1六十进制计数器..................................................(4)3.2二十四进制计数器................................................(5)3.3显示屏..........................................................(6)3 .4校时电路.. (6)3.5报时电路 (7)4电路仿真 (8)4.1Multii................................................... ......(8)4.2数字钟总电路图..................................................(8)4.3仿真电路测试结果 (9)5电路实验结果.............................................(10)6收获与体会. (10)参考文献 (11)数电课程设计。
简易数字钟的EDA设计
序号:学号:课程名称: FPGA设计及应用论文题目:简易数字钟的EDA设计学生姓名:学院(系):专业班级:简易数字钟的EDA设计一、产品设计需求说明本产品实现的功能:1、数字钟功能:数字钟时间为24小时一个周期:数字钟须显示时、分、秒。
2、校时功能:可以分别对时、分、秒进行单独校时,使其调整到标准时间。
3、扩展功能:整点报时系统。
设计整点报时电路,每当数字钟达到整点时开始报时,并发出鸣叫声,十秒后鸣叫结束。
设计参数:使用的芯片/硬件平台GW48实验系统软件平台WindowsXP + MuxplusII10.1二、方案设计及实现1、系统实现原理和总体框图数字式电子钟实际上是一个对标准1Hz进行计数的计数电路,秒计数器满60秒后向分计数器进位,分计数器满60秒后向时计数器进位,时计数器按24翻1规律计数,计数输出经译码器送LED显示器,由于计数的起始时间不可能与标准时间(北京时间)一致,故需要在电路上加上一个校时电路,该数字式电子钟除用于计时外,还能整点报时,如图(1-1)所示为多功能数字式电子钟的构成框图。
除校时功能外,电子钟处于其他功能状态时并不影响数字钟的运行,该电子钟利用GW48 EDA实验平台的扬声器进行整点报时。
图(1-1)多功能数字式电子钟的系统框图2、主要模块之计数器模块的设计计数器模块说明:时分秒计数器模块由秒个位、十位计数器,分个位、十位计数器以及时个位、十位计数电路组成。
其中,秒个位和秒十位计数器、分个位和分十位计数为六十进制计数器,而根据设计要求,时个位和时十位构成的为二十四进制计数器。
因此时分秒计数器模块可划分为时计数、分计数和秒计数3个子模块,根据设计要求,时计数子模块为一个二十四进制可预置的BCD码计数器模块;分计数和秒计数子模块均为六十进制可预置的BCD码计数器模块。
算法原理如图(1-2)、图(1-4)、图(1-6)所示源代码:1)、六进制计数器:--File:counter6.vhd--Designer:AAA--Module:decimal counter--Description: It is a decimal counter with a carry.--Simulator:MAX plusII 10.0.9/Window XP--Synthesizer:MAX plusII 10.0.9/Window XP--Date:10/16/11--Modify date:10/5/12LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; 图(1-2)六进制计数器工作流程图USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY counter6 ISPORT(clk:IN STD_LOGIC;reset:IN STD_LOGIC;din:IN STD_LOGIC_VECTOR(2 DOWNTO 0);dout:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);c:OUT STD_LOGIC);END counter6;ARCHITECTURE dianzizhong OF counter6 ISSIGNAL count:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINdout<=count;PROCESS(clk,reset,din)BEGINIF reset='0' THEN --计数器复位count<=din;c<='0';ELSIF rising_edge(clk) THENIF count="101" THEN --到5后,计数器清零count<="000";c<='1';ELSEcount<=count+1;c<='0';END IF;END IF;END PROCESS;END dianzizhong;仿真图:图(1-3) 六进制计数器功能仿真图2)、十进制计数器:--File:counter10.vhd--Designer:AAA--Module:decimal counter--Description: It is a decimal counter with a carry.--Simulator:MAX plusII 10.0.9/Window XP--Synthesizer:MAX plusII 10.0.9/Window XP--Date:10/16/11--Modify date:10/5/12LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; 图(1-4)十进制计数器工作流程图USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY counter10 ISPORT(clk :IN STD_LOGIC;reset: IN STD_LOGIC;din: IN STD_LOGIC_VECTOR(3 DOWNTO 0);dout: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);c: OUT STD_LOGIC);END counter10;ARCHITECTURE dianzizhong OF counter10 ISSIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINdout<=count;PROCESS(clk,reset,din)BEGINIF reset='0' THEN --计数器复位count<=din;c<='0';ELSIF rising_edge(clk) THENIF count="1001" THEN --到9后,计数器清零count<="0000";c<='1';ELSEcount<=count+1;c<='0';END IF;END IF;END PROCESS;END dianzizhong;仿真图:图(1-5) 十进制计数器功能仿真图3)、二十四进制计数器:--File:counter24.vhd--Designer:沙桂珍--Module:counter base-24--Description: It is a counter base-24.--Simulator:MAX plusII 10.0.9/Window XP--Synthesizer:MAX plusII 10.0.9/Window XP--Date:10/16/11--Modify date:10/5/12LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;图(1-6)二十四进制计数器工作流程图USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY counter24 ISPORT(clk:IN STD_LOGIC;reset:IN STD_LOGIC;din:IN STD_LOGIC_VECTOR(5 DOWNTO 0);dout:OUT STD_LOGIC_VECTOR(5 DOWNTO 0));END counter24;ARCHITECTURE dianzizhong OF counter24 ISSIGNAL count:STD_LOGIC_VECTOR(5 DOWNTO 0);BEGINdout<=count;PROCESS(clk,reset,din)BEGINIF reset='0' THENcount<=din;ELSIF rising_edge(clk) THENIF count="100011" THEN --达到23时下一次归0count<="000000";ELSIF count(3 DOWNTO 0)="1001" THENcount(3 DOWNTO 0)<="0000"; --低位到9后,计数器清零count(5 DOWNTO 4)<=count(5 DOWNTO 4)+1; --高位加1ELSEcount(3 DOWNTO 0)<=count(3 DOWNTO 0)+1;END IF;END IF;END PROCESS;END dianzizhong;仿真图:图(1-7) 二十四进制计数器功能仿真图3、主要模块之校时模块的设计校时模块说明:当刚接通电源或走时出现误差时都需要对时间进行校正,对时间的校正是通过对时间的重置来实现,当功能键reset为1、load为0时即进入校时模式,此模式下可通过对sel键的选择来给时,分,秒中的一个进行置数,当sel为“01”时是校时状态,为“10”时是校分状态,为“11”时是校秒状态,在对其中一个置数时不会影响其他两个计数。
EDA课程设计论文 24制数字钟数字钟设计.
EDA 课程设计报告书数字钟设计设计者指导老师:学号:专业班级: XXXXXXXXX 学院 201X.X.XX目录1. 摘要,关键字...............................................................3 2. 正文 (3)2.1设计任务及要求............................................................3 2.2方案选择与论证............................................................3 2.3方案的原理框图及其说明...................................................4 2.4硬件选择.....................................................................4 2.5系统设计详述...............................................................4 2.6系统仿真及分析............................................................ 6 2.7下载测试及分析............................................................9 2.8收获体会、存在问题和进一步的改进意见等 (10)3. 参考文献 (10)VHDL 语言实现数字电子钟的设计作者:xxx 指导老师:xxx (xx 大学xxxx 学院 xxx xxxx )[摘要]:随着基于PLD 的EDA 技术的发展和应用领域的扩大与深入,EDA 技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。
数字钟设计(带仿真图)
数字钟的设计一.设计要求:1)采用24小时制,要有时/分/秒显示,显示采用六只LED数码管分别显示时分秒;2)时、分、秒之间用二极管显示“:”,并每秒种闪烁一次;3)时间的小时、分可手动调整;4)采用+5V电源供电。
二.题目分析:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.三.总体方案:数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
计数部分分为一个二十四进制和两个六十进制计数,采用74HC390芯片。
显示部分,采用CD4511译码器,而CD4511输出的是高电平有效,所以数码管采用的共阴数码管。
校时部分为了防抖动采用了串联RS触发器。
图1 数字时钟线路原理框图四.原理图设计我们这次做的数字钟总体分为四个部分,晶体振荡部分、计数部分、译码显示部分和校时部分。
图2 数字时钟整体原理图五.各部分定性说明以及定量计算:(一)晶体振荡部分由于要产生稳定的1Hz的脉冲信号,所以选用石英晶体振荡器。
选用的石英晶体振荡器的频率是32768Hz的,故需对其分频。
用CD4060分得2Hz的频率后再用CD4013双D触发器得到1Hz的频率。
原理图如下。
图3 晶体振荡部分原理图振荡部分使用的元器件主要有:1片CD4060,1片CD4013,1个3276Hz的晶体振荡器,1个22兆欧的电阻,1个20Pf和1个30Pf的电容。
(完整word版)24小时数字钟VHDL语言
数字钟的设计一、任务要求:(1)设计一个数字钟。
(2)具有时,分,秒计数显示功能,以24小时循环计时。
(3)具有清零,调节小时、分钟功能。
(4)具有整点报时功能,整LED灯花样显示。
二、系统框图:三、模块说明(含程序代码)1. 秒模块程序清单library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SECOND isport(clk,clr:in std_logic;----时钟/清零信号sec1,sec0:out std_logic_vector(3 downto 0);----秒高位/低位co:out std_logic);-------输出/进位信号end SECOND;architecture SEC of SECOND isbeginprocess(clk,clr)variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数beginif clr='1' then----当ckr为1时,高低位均为0cnt1:="0000";cnt0:="0000";elsif clk'event and clk='1' thenif cnt1="0101" and cnt0="1000" then----当记数为58(实际是经过59个记时脉冲)co<='1';----进位cnt0:="1001";----低位为9elsif cnt0<"1001" then----小于9时cnt0:=cnt0+1;----计数elsecnt0:="0000";if cnt1<"0101" then----高位小于5时cnt1:=cnt1+1;elsecnt1:="0000";co<='0';end if;end if;end if;sec1<=cnt1;sec0<=cnt0;end process;end SEC;秒模块仿真波形秒模块原理图当clr=1时,秒的高低位清零;当clr=0时,来一个时钟信号sec0加1,当sec0加到九时清零,co=1,sec1加1。
2410时钟课程设计
2410时钟课程设计一、课程目标知识目标:1. 学生能够理解24时计时法的概念,掌握将普通计时法转换为24时计时法的方法。
2. 学生能够运用24时计时法进行时间的计算和转换,解决实际生活中的问题。
3. 学生了解时钟的运行原理,掌握时针、分针、秒针的运动规律。
技能目标:1. 学生通过实际操作,提高观察、分析、解决问题的能力。
2. 学生能够运用所学知识,解决与时间相关的实际问题。
3. 学生在小组合作中,提高沟通、协作能力。
情感态度价值观目标:1. 学生培养珍惜时间、合理安排时间的意识。
2. 学生通过学习时钟课程,激发对科学知识的兴趣和好奇心。
3. 学生在学习过程中,树立自信、自主、探究的学习态度。
课程性质:本课程为小学四年级数学课程,结合实际生活情境,培养学生的时间观念和逻辑思维能力。
学生特点:四年级学生具备一定的观察、分析和解决问题的能力,对新鲜事物充满好奇心,但注意力集中时间较短。
教学要求:课程设计要注重实践性和趣味性,激发学生的学习兴趣,同时注重培养学生的学习方法和情感态度。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容1. 介绍24时计时法的概念,对比普通计时法的差异,使学生理解并掌握24时计时法的应用。
- 教材章节:第三章 时间与时刻- 内容:24时计时法的含义、转换方法。
2. 时间计算与转换,包括时、分、秒的加减运算,以及时间推算。
- 教材章节:第三章 时间与时刻- 内容:时间加减运算、时间推算、实际应用。
3. 时钟的运行原理及时间读取。
- 教材章节:第三章 时间与时刻- 内容:时钟运行原理、时针、分针、秒针的关系、时间读取。
4. 实践活动:制作简易时钟,加深对时钟运行原理的理解。
- 教材章节:实践活动5. 结合生活实际,运用所学知识解决与时间相关的问题。
- 教材章节:综合应用教学进度安排:第一课时:24时计时法的概念与转换方法。
第二课时:时间的计算与转换。
第三课时:时钟的运行原理及时间读取。
24进制电子钟课程设计
24进制电子钟课程设计一、课程目标知识目标:1. 理解24进制的基本概念,掌握24进制与十进制的转换方法;2. 了解电子时钟的原理,掌握电子时钟的显示方式及计算方法;3. 学会运用24进制进行时间计算,提高数学运算能力。
技能目标:1. 能够运用所学知识,设计并制作一个简易的24进制电子钟;2. 培养学生的动手操作能力、问题解决能力和团队合作能力;3. 提高学生运用编程语言实现电子时钟功能的能力。
情感态度价值观目标:1. 培养学生对数学和电子技术的兴趣,激发学生的学习热情;2. 培养学生严谨的科学态度,养成良好的学习习惯;3. 增强学生的国家认同感,认识到我国在电子技术领域的发展成果。
课程性质:本课程属于综合实践活动课程,结合数学、电子技术和编程语言等多学科知识,旨在培养学生的综合素质。
学生特点:六年级学生具有一定的数学基础和动手操作能力,对新鲜事物充满好奇,善于合作与交流。
教学要求:注重理论与实践相结合,鼓励学生动手实践,培养解决问题的能力。
通过小组合作,提高学生的团队协作能力。
在教学过程中,关注学生的个体差异,因材施教,确保每位学生都能达到课程目标。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容1. 基本概念与原理:- 24进制数的概念及特点;- 电子时钟的原理及显示方式;- 24进制与十进制的转换方法。
2. 教学大纲:- 第一阶段:基本概念学习,包括24进制数、电子时钟原理及显示方式;- 第二阶段:进制转换方法学习,以实例进行讲解和练习;- 第三阶段:电子时钟制作,分组进行实践操作,结合编程语言实现功能;- 第四阶段:总结与评价,展示作品,交流心得,进行自我评价和互相评价。
3. 教材关联:- 《数学》六年级下册:进制相关知识;- 《信息技术》六年级下册:电子技术基础及编程语言;- 《综合实践活动》六年级下册:实践活动组织与实施。
4. 教学内容安排与进度:- 第一周:基本概念学习;- 第二周:进制转换方法学习;- 第三周:电子时钟制作(上);- 第四周:电子时钟制作(下);- 第五周:总结与评价。
24小时制多功能电子钟设计与仿真
武汉工业学院毕业设计设计题目:24小时制多功能电子钟设计与仿真姓名许立磊学号 071203123院(系)数理科学系专业电子信息科学与技术指导教师何雄涛2011年5月26日摘要 (I)Abstract (II)第一章绪论 (1)1.1数字电子钟的背景 (1)1.2数字电子钟的意义 (1)1.3数字电子钟的应用 (2)第二章整体设计方案 (3)2.1设计要求 (3)2.2系统框图 (3)2.3设计过程 (3)第三章系统仿真 (5)3.1 Multisim 9软件介绍 (5)3.2 Multisim 9仿真 (6)第四章电路原理分析 (10)4.1数字钟的构成 (10)4.2 校时功能的实现 (16)4.3 报时的实现 (17)第五章电路仿真与设计 (18)5.1所需芯片及芯片管脚图 (18)5.2 时、分、秒显示电路模块设计 (19)5.3校时电路模块设计 (22)5.4报时电路模块设计 (23)5.5综合电路 (24)参考文献 (26)致谢 (27)20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透到了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使得现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。
现代生活的人们越来越重视起了时间观念,可以说时间和金钱划上了等号。
对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。
数码管显示的时间简单明了而且读数快、时间准确显示到秒。
而机械式的依赖于晶体震荡器,可能会导致误差。
[11]数字钟是采用数字电路实现对"时"、"分"、"秒"进行数字显示的计时装置。
数字钟的精度、稳定度远远超过老式机械钟。
在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。
24小时制时钟—EDA课程设计报告
石家庄铁道大学EDA课程设计报告24小时制数字钟学院电气与电子工程学院专业电子信息专业班级电0904-2班学号 20092360 学生姓名指导教师赵晓博课程成绩完成日期 2012年3月30日课程设计任务书电气与电子工程学院电子信息专业课程名称EDA课程设计时间2011~2012学年第二学期2~6周学生姓名指导老师赵晓博题目数字钟的设计与制作主要内容:本课程设计主要是利用硬件描述语言VHDL的设计思想,采用自顶向下的方法、划分模块来设计数字钟的几个模块。
通过课程设计深入理解计算机的基本原理和方法,加深对计算机组成的理解。
要求:1.具有时、分、秒计数显示功能,且以24小时循环计时。
2.具清零的功能,且能够对计时系统的小时、分钟进行调整。
3.具有整点报时功能。
扩展:1.设置启/停开关。
当按下启/停开关,将启动时钟开始计时,当再按一下启/停开关时,将终止计时操作。
2.计时精度为1s。
3.复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备。
4.随意给定频率能分频至1s。
数字钟的设计与制作学生姓名:指导老师:赵晓博摘要系统使用EDA技术设计了数字钟,采用硬件描述语言VHDL按模块化方式进行设计,然后进行编程,时序仿真等。
利用VHDL语言完成了数字钟的设计。
该数字钟能实现时、分、秒计数的显示功能,且以24小时循环计时,具清零的功能,且能够对计时系统的小时、分钟进行调整,具有整点报时功能。
整个系统使用方便,功能齐全,精度高,具有一定的开发价值。
关键字数字钟;EDA;VHDL;目录1引言 (5)1.1课题的背景、目的 (5)1.2设计的内容 (5)2 EDA、VHDL简介 (6)2.1EDA技术 (6)2.2硬件描述语言——VHDL (6)★VHDL的简介 (6)★VHDL语言的特点 (7)★VHDL的设计流程 (7)3 数字钟设计 (8)3.1数字钟的工作原理 (8)3.1数字钟的实现流程 (8)3.2晶体振荡器 (12)3.3分频电路 (12)3.2部分程序实现 (12)4 系统仿真 (22)1.小时24制电路仿真图 (22)2.分钟59电路仿真图 (23)3.秒59制电路仿真图 (23)4.59转码电路仿真图 (233)5.24转码电路仿真图 (24)6.动态扫描电路仿真图 (24)7.整点报时电路仿真图 (25)结束语 (26)致谢 (26)参考文献 (26)附录 (27)1 引言随着社会的发展,科学技术也在不断的进步。
设计24时制数字电子钟
课程设计报告课程设计名称:设计24时制数字电子钟引言数字钟是用数字集成电路做成的现代计时器,与传统的机械钟相比,它具有走时准确、显示直观(有荧光七段数码显示器)、无机械传动装置等优点。
而且钟表的数字化给人们生产生活带来了极大的方便,大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等。
所有这些都是以钟表数字化为基础的。
因此,研究数字钟的应用原理及扩大其应用,有着非常现实的意义。
一、方案数字钟主要分为数码显示器、60进制和24进制计数器、频率振荡器这几个部分。
首先构成一个CB555定时器和分频器产生震荡周期为一秒的标准“秒”脉冲信号,使用芯片74160,并采用置数法分别组成六十进制的“秒”计数器、六十进制“分”计数器,24进制“时”计数器。
置数法适用于具有预置数功能的集成计数器。
对于就有预置数功能的计数器而言,在其计数过程中可以将它输出的任意一个状态通过译码,产生一个预置数控制信号反馈至预置数控制端,在下一个CP 脉冲作用后,计数器会把预置数输入端A、B、C、D的状态置入输出端。
预置数控制信号消失后,计数器就从被置入的状态开始重新计数。
工作时,当“秒”脉冲信号送至计秒电路,当计秒电路满60时,输出秒进位信号,送计分电路。
当计分电路满60时,输出分进位信号,送计时电路。
当计时电路满24时,“时”、“分”、“秒”计数器同时自动清零。
二、系统功能框图数字钟的原理框图如图所示,它是由脉冲电路、计秒电路、计分电路、计时电路、译码显示电路等组成。
三、电路分析1.振荡器振荡器是数字钟的心脏,它的作用是产生时间标准信号。
数字钟的精度就主要取决于时间标准的频率和稳定度。
实验由555定时器构成的1kHz的自激振荡器,计时是1Hz的脉冲才是1s计一次数,所以需要分频才能得到1Hz的脉冲。
具体电路如图。
2.分频电路的设计分频器的主要功能是产生标准“秒”脉冲信号,选用中规模计数器74LS90就可以实现上述功能。
24时制数字电子钟设计
实验八 综合设计实验——设计24时制数字电子钟一、实验方案数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置.它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有闹钟功能和报时功能.。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成.干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、整点报时电路、闹钟电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现.将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲.“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”.“时计数器”采用24进制计时器,可实现对一天24小时的累计.译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过LED 七段显示器显示出来.整点报时电路及闹钟电路是根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时.(由于EWB 元件中没有扬声器,故电路中一红色小灯泡代替)。
二、系统框图三、数字时钟的原理图:1、信号源及分频器信号源及分频器是数字电子中的核心,其作用是信号源产生一个频率标准,即时间标准信号,然后再由分频器生成秒脉冲。
由于实验室的信号源可提供10Hz 的信号,故要分频成1hz。
74290的引脚图74290的功能表分频电路的仿真图为:2、振荡器(如果要做成一个独立的电子时钟,则要一个能自动产生信号的电路,即振荡电路)振荡器是数字电子中的核心,其作用是产生一个频率标准,即时间标准信号,然后再由分频器生成秒脉冲。
我们有三种选择,即石英晶体振荡器、集成逻辑门与RC组成的时钟源振荡器和由集成电路定时器555和RC组成的多谐振荡器。
24小时数字钟的设计与仿真项目报告
24小时数字钟的设计与仿真项目报告
一.实训项目任务与要求
应用所学数字电路知识,熟练应用中小规模集成电路,完成24小时数字钟的设计与仿真。
具体功能如下:应用数码管分别显示十分秒。
二.电路框图
三.芯片资料
1.74LS160功能简介
CLK是脉冲输入端;RCO是进位信号输出端,ENP和ENT是计数器工作状态端;CLR是异步清零端;LOAD是置数端;VCC接正电源;GND接地;A~D是数据输入端;QA~QD是计数器状态输入端,电源电压5V。
其状态表如下所示:
2.74LS48
采用7448七段译码器。
其中A,B,C,D——BCD码输出端;Qa,Qb,Qc,Qd,Qe,Qf,Qg——译码输出端,输出“0”有效,用来驱动共阴极LED数码管;LT——测试输入端,LT=“0”时,译码输出全为“1”;
四.电路分析
利用74ls160计数功能实现24小时数字钟的显示。
计数器的输出端QA~QD接入译码器进行翻译,显示相应的数字。
显示电路用了DCD-HEX显示器,能显示出完整的数据。
若将“时”、“分”、“秒”计数器的每位输出分别接到相应去七段译码器的输入端,便可进行不同数字的显示。
五.仿真电路
1.24同步
2. 60同步
60异步
100同步
100异步
总图。
数字电子钟方案及对策
一、设计方案1、总体设计方案说明及系统框图:数字钟是计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过LED显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一脉冲信号,控制信号灯亮灭周期。
由于计数的起始时间不可能与标准时间(如时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
数字电子钟的总体框图如下图所示。
系统框图:2、单元电路设计方案:1)振荡器和分频器振荡器的作用是产生时间标准信号。
数字钟的精度就是主要取决于时间标准信的频率和稳定度。
所以,在实验中采用脉冲信号作为时间标准信号源。
2)计数器根据计数周期分别组成两个60进制(秒、分)和一个24进制(时)的计数器。
把它们适当连接构成秒、分、时的计数,(分计数器中分的个位和十位计数单元的状态转换和秒计数器中的是一样的,只是它要把进位信号传输给时的个位计数单元。
) 实现计时功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
成绩指导教师日期
一、概述
数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。由于数字集成电路的发展和石英晶体振荡器的使用,使得数字钟的精度、稳定度远远超过了机械钟表。钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。本设计就是关于数字钟的设计。
图8测试2结果图
图9测试3结果图
图10测试4结果图
五、结论
本次测试结果和要求的数据完全一样,任务书的要求是该数字钟系统要利用二十四小时制表示时间,利用6位数码管进行具体时间显示;另外要求有三个按键进行时间调节,一个调整时间功能键(空格),按一次空格键进入调时功能;连按两次进入调分功能;连按三次进入调秒功能;另外两个按键W和Q分别为“+”功能与“-”功能。本设计符合任务书的基本要求,可以实现任务书中要求的功能,并可以完美的运行该数字钟。
沈阳航空航天大学
课程设计
(说明书)
二十四小时制数字钟系统设计
班级/学号
学生姓名mandy
指导教师徐嵩
沈阳航空航天大学
课程设计任务书
课程名称数字逻辑课程设计
课程设计题目二十四小时制数字钟系统设计
课程设计的内容及要求:
一、设计说明与技术指标
设计一个二十四小时制数字钟系统电路,技术指标如下:
该数字钟系统要求利用二十四小时制表示时间,利用6位数码管进行具体时间显示;另外要求有三个按键进行时间调节,一个调整时间功能键,按一次此键进入调时功能;连按两次进入调分功能;连按三次进入调秒功能;另外两个按键分别为“+”功能与“-”功能。
图460进制计数器与显示电路
图524进制计数器与显示电路
四、性能的测试
按电路图连接好电路,调整到23时59分50秒,再正常工作到00时00分00秒。
时
分
秒
1
23
X
X
2
23
59
X
3
23
59
50
4
00
00
00
图6测试数据表
按照测试数据表对电路进行测试,得到如图7,8,9,10所示的结果。
图7 测试1结果图
本报告包括方案的原理,方案的设计步骤,各部分电路的设计,性能的测试,以及设计的心得体会。
二、方案的原理
本方案主要采用具有加减功能的十进制的计数器74LS192来实现数字钟系统的设计,采用门电路与加法计数器74LS160控制各个分电路的运行,采用门电路的组合实现时间的“+”“-”调整,当调整时间的时候,按下空格键会给对应的74LS160片子一个脉冲从而控制分电路,74HC160片子的输出端连接着门电路与74LS192的片子,配合“+”“-”按键就可以实现对数字钟的时间调整功能。
七、课设体会及合理化建议
经过两周的二十四小时制数字钟系统的数电课程设计,我深入学习了时序逻辑部件的相关知识,查阅了相关图书资料,并借助互联网对该问题的原理进行细致的研究。由于课题比较复杂。设计涉及到加减计数器74LS192等元器件,所以我特别对他们的工作原理进行了较深刻的学习,同时也对他们的实际应用产生了一定的了解。
六、性价比
根据电路实现的功能来看,该二十四小时制数字钟系统的性价比还是比较好的。本设计电路主要应用了显示器,74LS192计数器,74LS160计数器,门电路还有开关这五种器件,尽量节省元器件以实现所要求的功能,各个元件间紧密联系,数字钟的实现原理清楚简洁,思路清晰,接法简单,不繁杂,所用原件均为常用的数字电路原件,成本低廉,用此设计可以节约成本,利于生产,并且所设计的电路的功能较为贴近我们日常的生活,较为符合普通市面上所生产出售的数字手表的功能,综合还说此设计性价比较高。
图2控制电路
2.调节电路
调节电路主要由开关和门电路构成,在控制电路中选择了要停止的电路后,通过门电路的组合,给计数器74LS192的UP或DOWN一个低电平,就可以实现“+”、“-”的调节功能,调节电路如图3所示。
图3调节电路
3.计数器与显示电路
计数器与显示电路主要由加减计数器74LS192,门电路和显示器组成,完成最基本的数字钟的运行。将“分”和“秒”用两个74LS192片子接成60进制的计数器,将“时”接成24进制的计数器,60进制计数器与显示电路如图4所示,24进制计数器与显示电路如图5所示。
原理框图如图1所示。
图1二十四小时制数字钟系统的原理框图
总共三条分Байду номын сангаас路,分别控制“时”、“分”、“秒”。每条分路,例如控制“秒”的分路中,又由两个显示器,两个计数器和一组利用“+”“-”调整时间的门电路组成。最后通过控制电路,用按下空格键的次数来控制每条分电路的时间调整。
三、电路设计
1.控制电路
控制电路由显示器,开关,门电路,和计数器74LS160组成。当按下1次空格键(开关)时,会给计数器74LS160一个脉冲,同时显示器显示数字1,再通过门电路的组合,使进入控制“时”的计数器74LS192的UP和DOWN引脚的均为高电平,就能控制“时电路”的停止,同理,按下2次控制“分电路”,3次控制“秒电路”,而按下4次空格键时,电路则正常运行。控制电路如图2所示。
本设计的数字钟是二十四小时制的数字钟系统,设备上配备另外有三个按键,分别为空格键,用来控制“时”、“分”、“秒”三个分路的时间调节;W键,用来调整时间起到“+”的作用;Q键,用来调整时间起到“-”的作用。操作时,按一次空格键可以使“时”停止,通过Q和W键来调整时间,同理,按两次空格键调整“分”,三次调整“秒”,四次回到正常运行状态。
二、设计要求
1.在选择器件时,应考虑成本。
2.根据技术指标,通过分析计算确定电路和元器件参数。
3.画出电路原理图(元器件标准化,电路图规范化)。
三、实验要求
1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。
2.进行实验数据处理和分析。
四、推荐参考资料
1.童诗白,华成英主编.数字电子技术基础.[M]北京:高等教育出版社,2006年
在课程设计的过程中,也出现了一些困扰我的问题,在书籍与互联网都无法解答我困惑的时候,老师给了我许多的讲解与提示,因此,这次的课程设计也离不开老师的帮助,因为对于74LS192这个计数器还不够熟悉,在实现调节功能中的“-”功能时,对于“时”,进行“00”-1的操作时因电路还未完善,会显示“99”而非“23”,通过老师对原理的讲解以及提示,最终,本设计被较为完美的实现了。