数电课设 电子琴[优质PPT]
数电课程设计--简易电子琴
目录1 设计任务 (1)1.1 基本任务 (1)1.2 扩展任务 (1)2 设计方案原理 (1)3 单元电路的设计 (2)3.1 多谐振荡器 (2)3.2 琴键开关 (3)3.3 扩音器(喇叭) (4)3.4 器件选择 (4)4 电路图的绘制 (5)5 电路的仿真及调试 (6)6 体会 (6)参考文献 (8)1设计任务电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。
本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。
虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。
本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。
本次设计的任务为:1.1基本任务①具备8个按键,能够分别较准确地弹奏出1〜1八个音符。
②选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
用Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计报告书。
1.2扩展任务①能够弹奏出至少21个音符(三个音阶)。
②能够较便捷地完成音阶的升降。
(按一个开关实现升8度,按另一个开关实现降8度)2设计方案原理本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。
电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。
原理框图如下:图1原理框图3单元电路的设计3.1多谐振荡器利用多谐振荡器产生周期脉冲电路图如下图所示图2 多谐振荡器电路实现图中引脚功能:1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。
2脚:TR低触发端。
3脚:OUT(或Vo)输出端。
4脚:Rd是直接清零端。
当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。
北邮数电实验电子琴
VHDL硬件描述语言程序设计简易电子琴演奏器姓名:chi目录一、设计课题的任务要求 (3)二、系统设计 (3)三、仿真波形及波形分析 (11)四、源程序 (12)五、功能说明 (41)六、元器件清单及资源利用情况 (41)七、故障及问题分析 (43)八、总结和结论 (44)一、设计课题的任务要求基本要求:1、用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。
其中点阵的第一列用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。
图1 点阵显示的电子琴键盘2、用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 56 7”七个音符。
当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复,蜂鸣器停止声音的输出。
下图所示为按下BTN3按键时点阵的显示情况。
图2 按键按下后的点阵显示3、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。
4、通过按键BTN0进行复位,控制点阵显示图1的初始状态。
提高要求:1、可通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加自动演奏乐曲的功能。
2、增加手动演奏的音符存储、播放功能。
二、系统设计1.设计思路简易电子琴的制作主要是利用不同频率的波来驱动蜂鸣器发出声响。
通过输入不同的音符来设置不同的分频系数,使得50MHz的主频分频出不同频率的波。
同时,演奏的音符还可以通过数码管和8*8点阵来动态显示。
根据系统设计要求,该电子琴设计采用自顶向下的设计方法。
整体的功能通过不同的底层模块配合来完成电子琴的功能。
底层模块主要包括乐曲自动演奏模块、分频预置值产生模块和数控分频模块,数码管显示模块,8*8点阵显示模块五部分组成。
用这种设计思路把整个系统分为了若干个模块,然后再在顶层文件中将各个模块组合在一起,从而体现出超、高速硬件描述语言VHDL的优势,关于提高要求中通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加自动演奏乐曲的功能,我打算将一首曲子的音符储存在自动播放的数组里面,然后通过计数器来顺序播放储存的音符。
《电子琴初步方案》课件
05
电子琴的音乐风格与演奏技 巧
电子琴的音乐风格
古典音乐风格
电子琴能够模仿古典乐器音色 ,演绎古典音乐作品。
流行音乐风格
电子琴适合演绎流行音乐,能 够表现出丰富的节奏和音色。
爵士音乐风格
电子琴具备即兴演奏能力,适 合爵士乐的自由演绎。
摇滚音乐风格
电子琴的强大音效功能可以模 拟摇滚乐队的音色,呈现出震
《电子琴初步方案》ppt课件
目录 Contents
• 电子琴简介 • 电子琴的构造与原理 • 电子琴的功能与操作 • 电子琴的选购与保养 • 电子琴的音乐风格与演奏技巧
01
电子琴简介
电子琴的历史与发展
电子琴的起源
电子琴最初起源于20世纪初的美国, 其初衷是为了模仿管风琴的声音。
电子琴的发展历程
合成器
合成器根据用户选择的音色和节 奏,将音频信号进行合成,产生
不同的音符。
采样回放
对于一些真实乐器音色的采样, 电子琴通过采样回放技术进行模
拟。
03
电子琴的功能与操作
电子琴的基本功能
音色合成
电子琴能够模拟多种乐器音色,如钢琴、吉他、 弦乐等,通过合成技术创造出丰富的音效。
自动伴奏
电子琴具备自动伴奏功能,能够根据乐曲节奏自 动合成和弦与低音,为演奏者提供伴奏背景。
电子琴的操作方法
界面操作
01
电子琴的界面设计简洁直观,用户可以通过按钮、旋钮等控件
进行操作。
曲目选择
02
用户可以通过曲目选择功能,快速找到自己需要的乐曲或伴奏
。
音效调整
03
用户可以根据需要调整音效参数,如混响、均衡器等,以达到
最佳的音乐效果。
课程设计-八键电子琴
课程设计-八键电子琴
1. 课程目标:
通过本课程的学习,学生将能够了解基本电子琴的构造和原理,掌握电子琴音符的基本演奏方法,并能够熟练使用八键电子琴演奏简单的音乐作品。
2. 课程内容:
(1)电子琴的构造和原理
(2)电子琴音符的基本演奏方法
(3)八键电子琴的使用和演奏
(4)使用八键电子琴演奏简单的音乐作品
3. 课程安排:
第一课:电子琴的构造和原理
介绍电子琴的构造和原理,让学生了解电子琴的工作原理和基本构成部分。
第二课:电子琴音符的基本演奏方法
教授电子琴音符的基本演奏方法,让学生掌握如何演奏单个音符和和弦。
第三课:八键电子琴的使用和演奏
介绍八键电子琴的使用方法和演奏技巧,让学生学会如何使用八键电子琴演奏音乐。
第四课:演奏简单的音乐作品
通过示范和演练,让学生使用八键电子琴演奏简单的音乐作品,巩固之前所学内容。
4. 授课方法:
(1)讲述
介绍电子琴的构造和原理、电子琴音符的基本演奏方法、八键电子琴的使用和演奏以及演奏简单的音乐作品。
(2)示范
通过示范教学的方式,让学生更加直观地了解和掌握课程内容。
(3)演练
让学生通过演练、练习的方式,逐渐熟练掌握八键电子琴的使用和演奏技巧。
5. 教学评估:
通过课堂练习、小组合作、演奏表现等多种方式,对学生进行综合评估。
同时,可以邀请专业老师对学生的演奏进行评估,以定量化的方式评估学生的表现。
数电EDA简易电子琴Verilo
数电EDA简易电子琴Verilog- 设计.数字电子技术课程设计--简易电子琴设计专业班级:电子姓名:学号:日期: 2015.6.5一、设计任务用Verilog HDL语言设计简易电子琴。
(1)单独从左至右按下S1-S7每个按键后能够各自对应发出“哆来咪发唆啦西”的音乐声;(2)按下最右边按键(S8),同时再配合按下S1-S7键后,发高八度的对应音;(3)按键需要进行“消抖”处理;(4)外部输入脉冲信号频率为1mhz;(5)扩展要求:自主设计(增加低8度功能)。
二、实验目的1、学习verilogHDL语言的基本运用,能够利用其进行简单编程;2、学习使用QuartusⅡ7.0的基本操作,能够利用其进行简单的设计;3、结合实践加深对理论知识的理解。
三、设计原理1)喇叭的振动频率不同,导致产生不同的声音;振动频率越低,声音越低沉,振动频率越高,声音越尖锐。
题目中音乐基本音的“哆”对应频率为523Hz 、“来”对应频率为587Hz 、“咪”对应频率为659Hz 、“发”对应频率为698Hz 、“唆”对应频率为784Hz 、“啦”对应频率为880Hz 、“西”对应频率为998Hz。
低8度音:基本音频率/2,例如低音1的频率为523/2=261.5Hz。
高8度音:基本音频率×2,例如高音1的频率为523×2=1046Hz.。
不同的频率产生利用给定的时钟脉冲来进行分频实现。
(2)消抖的原理:按键默认输入逻辑‘1',当有按键按下时对应的输入为逻辑‘0'(但会存在抖动),当FPGA开始检测到该引脚从‘1'变为‘0'后开始定时(按键抖动时间大约10ms),定时时间结束后若该引脚仍然为‘0'则表示确实发生按键按下,否则视为抖动而不予以理会;按键松开过程的消抖处理和按下时原理一样。
)原理框图3(.四、程序设计消抖模块module xiaodou(key_in,key_out,clk); input key_in;input clk;output key_out;reg key_out;reg [2:0]presta;integer q;parameter s0=3'b000,s1=3'b001,s2=3'b010,s3=3'b011,s4=3'b100,s5=3'b101,s6=3'b110,s7=3'b111;always@(posedge clk)begincase(presta)s0:beginkey_out<=0;q<=0;if(key_in==1)presta<=s0;elsepresta<=s1;ends1: beginkey_out<=0;if(q>9999)presta<=s2;elseq<=q+1;ends2:beginkey_out<=0;q<=0;if(key_in==1)presta<=s0;elsepresta<=s3;ends3:beginkey_out<=1;q<=0;if(key_in==0)presta<=s3;elsepresta<=s4;ends4:beginkey_out<=1;if(q>44444)presta<=s5;elseq<=q+1;ends5:beginq<=0;if(key_in==1)presta<=s0;elsepresta<=s3;ends6:beginpresta<=s0;ends7:beginpresta<=s0;endendcaseendend module按键模块module anjian(a,b,c,d,e,f,g,h,i,qout); input a,b,c,d,e,f,g,h,i;output [10:0] qout;reg [8:0] q;reg [10:0] qout;always@(a or b or c or d or e or f or g or h or i) beginq[0]=i;q[1]=h;q[2]=g;q[3]=f;q[4]=e;q[5]=d;q[6]=c;q[7]=b;q[8]=a;endalways@(q)begincase(q)9'b100000000:qout<=11'b01110111100;9'b010000000:qout<=11'b01101010011;9'b001000000:qout<=11'b010********;9'b000100000:qout<=11'b010********;9'b000010000:qout<=11'b010********;9'b000001000:qout<=11'b010********;9'b000000100:qout<=11'b00111110101;9'b100000010:qout<=11'b00111011110;9'b010000010:qout<=11'b00110101010;9'b001000010:qout<=11'b00101111011;9'b000100010:qout<=11'b00101100110; 9'b000010010:qout<=11'b00100111111; 9'b000001010:qout<=11'b00100011100; 9'b000000110:qout<=11'b00011111011;9'b100000001:qout<=11'b11101111000; 9'b010000001:qout<=11'b11010100110; 9'b001000001:qout<=11'b10111101110; 9'b000100001:qout<=11'b10110011000; 9'b000010001:qout<=11'b10011111100; 9'b000001001:qout<=11'b10001110000; 9'b000000101:qout<=11'b01111101010;9'b000000011:qout<=11'b00000000000; 9'b000000001:qout<=11'b00000000000; 9'b000000000:qout<=11'b00000000000; 9'b000000010:qout<=11'b00000000000; default:qout<=qout;endcaseendendmodule分频模块module fenpin(clk_1M,yuzhi,pl_out); input clk_1M;input [10:0]yuzhi;reg [10:0]q;output pl_out;reg pl_out;always@(posedge clk_1M)beginif(yuzhi>0)beginif(q<yuzhi)beginq<=q+1;pl_out<=0;endelsebeginq<=11'b00000000000;pl_out<=1;endendelsebeginq<=11'b00000000000; pl_out<=1;endendendmodule五、原理图及仿真波形图六、心得体会由于之前对本次设计所需知识了解较少,所以在实验过程中遇到了很多的困难,真的很难,但是同样在解决各种困难的过程中也有所收获。
数电课设电子琴
03
电子琴功能展示
音符识别与播放
音符识别
通过声音识别技术,将用户演奏的音符转化为数字信号,便 于后续处理。
自动播放
电子琴能够根据识别的音符自动播放对应的旋律,增强音乐 表现力。
音乐合成与播放
音乐合成
电子琴内置多种乐器音色,用户可以 通过合成器功能将同音色进行组合 ,创作出个性化的音乐。
能。
音乐制作
电子琴可用于创作和录制音乐 ,为音乐制作人提供便利。
演出表演
电子琴可用于现场演出和表演 ,丰富演出形式和效果。
家庭娱乐
电子琴作为家庭娱乐工具,为 人们提供轻松愉悦的音乐体验
。
02
数电课设电子琴设计
设计理念与目标
创新性
设计一款具有创新性的电子琴 ,能够实现多种音乐效果和演
奏方式。
实用性
数电课设电子琴
目录 Contents
• 电子琴概述 • 数电课设电子琴设计 • 电子琴功能展示 • 数电课设电子琴的挑战与解决方案 • 数电课设电子琴的未来展望
01
电子琴概述
电子琴的定义与特点
定义
电子琴是一种电子乐器,通过电 子振荡器产生音乐声音。
特点
电子琴通常具有多种音色、音调 和节奏,可模拟传统乐器的声音 ,且易于携带和存储。
人工智能技术
利用AI算法和机器学习,实现电子琴的智能编曲、自动演奏和音 乐推荐等功能,提升用户体验。
虚拟现实与增强现实
结合VR/AR技术,创造沉浸式的音乐体验,让用户在虚拟环境中演 奏电子琴,增强互动性和趣味性。
物联网与智能家居
将电子琴与智能家居系统相连,实现音乐控制与其他智能设备的联 动,提升家居生活的智能化水平。
数字逻辑课程设计之电子琴
数字逻辑课程设计之电子琴实验内容:设计一个八音电子琴,能通过八个键进行手动控制音响,也可以通过自动控制有效自动播放一段音乐。
实验设计:本实验分为四个模块:一个模块是主程序,一个模块是自动播放音乐部分,一个模块是音调发生器部分,一个模块是数控分频部分。
通过接口和结构体component的定义将各个模块连接起来。
本程序总的有11个输入,1个输出,2个输入为时钟脉冲,1个输入是控制电子琴自动演奏或者手动演奏的使能端,8个输入端为电子琴的八个键,1个输出为扬声器输出音乐。
在音调发生器部分中,需要按照模=50000/频率的方法进行分频,但是要达到提高音量使占空比为50%的效果还需要再对信号进行二分频,因此最后得到的音调发生器进行分频预置值如下:当某位音符电平输入有效时,对应不同的分频预置值,将分频预置值输出并作为数控分频部分的输入,分频预置值控制对主频的分频。
在数控分频部分,将输入的分频预置值和时钟脉冲作为输入,最后输出直接连接扬声器。
这一部分一共包含了两个进程,第一个进程是根据不同音符的不同频率进行在主频下进行分频,得到音符的相应频率,第二个进程是为了提高音量使占空比为50%而进行二分频。
在自动播放部分,1个时钟脉冲输入,1个自动播放的使能端输入,还有8个音符的键为输入,输出为音符对应的8位高低电平,输出连接到音调发生器的8位输入,如果自动播放的使能端(auto)无效则进行手动操作,在手动操作下,该模块的输出直接为输入。
如果自动播放的使能端输入有效,则播放事先存储的一段音乐,首先将主频50KHZ进行10000分频,因此一个脉冲为0.2秒,每来一个脉冲进行计数并播放一个音符。
直到设置的音符全部播放完毕,计数器回0。
源代码:主模块部分:定义了结构体和各模块函数libraryieee;use ieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entity piano isport(clk0,clk1:in std_logic;auto:instd_logic;inplay:instd_logic_vector(7 downto 0);play:outstd_logic);end piano;architecture run of piano iscomponent autoplay is--自动播放部分port(A:instd_logic_vector(7 downto 0);B,C:instd_logic;D:outstd_logic_vector(7 downto 0));end component;component tone is--音调发生器部分port(A:instd_logic_vector(7 downto 0);B:out integer range 0 to 170);end component;component control is--数控分频部分port(A:instd_logic;B:in integer range 0 to 170;C:outstd_logic);end component;signal sw0:std_logic_vector(7 downto 0);--自动播放部分的输出和音调发生器的输入,表示八个音符signal tone0:integer range 0 to 170;--音调发生器的输出和数控分频部分的输入,表示音符的频率begin ――在这个进程中把各个部分连接起来G1:autoplay port map(A=>inplay,B=>auto,C=>clk0,D=>sw0);G2:tone port map(A=>sw0,B=>tone0);G3:control port map(A=>clk1,B=>tone0,C=>play);end run;自动播放模块:libraryieee;use ieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityautoplay isport(A:instd_logic_vector(7 downto 0); --A=>inplay,B=>auto,C=>clk0,D=>sw0 B,C:instd_logic;D:outstd_logic_vector(7 downto 0));endautoplay;architecture music of autoplay issignal clk2:std_logic; --对50KHZ脉冲进行分频得到的5HZ的脉冲信号signal count0:integer range 0 to 62; --记录自动播放的乐曲的脉冲并控制播放signal count3:integer range 0 to 10000;--分频时的计数beginseparate:process(C,B)――分频将脉冲变为5HZ的信号beginif B='0' then count3<=0;clk2<='0';elsif(C'event and C='1') then count3<=count3+1;if count3=5000 then clk2<='1';elsif count3=10000 then clk2<='0';count3<=0;end if;end if;end process;half:process(clk2)beginif(clk2'event and clk2='1') then――如果脉冲个数多于自动播放的音符,则计数器回0,否则记录第几个脉冲if count0=62 then count0<=0;else count0<=count0+1;end if;end if;end process;musicplay:process(C,B,A)beginif B='1' then――启动自动播放后,在5HZ的脉冲下,每来一个脉冲相应播放一个音符case count0 iswhen 0 => D<="00010000";when 1 => D<="00000100";when 2 => D<="00000100";when 3 => D<="00000100";when 4 => D<="00001000";when 5 => D<="00000010";when 6 => D<="00000010";when 7 => D<="00000010";when 8 => D<="00000001";when 9 => D<="00000010";when 10 => D<="00000100";when 11 => D<="00001000";when 12 => D<="00010000";when 13 => D<="00010000";when 14 => D<="00010000";when 15 => D<="00010000";when 16 => D<="00010000";when 17 => D<="00000100";when 18 => D<="00000100";when 19 => D<="00001000";when 20 => D<="00000010";when 21 => D<="00000010";when 22 => D<="00000010";when 23 => D<="00000001";when 24 => D<="00000100";when 25 => D<="00010000";when 26 => D<="00010000";when 27 => D<="00000001";when 28 => D<="00000001";when 29 => D<="00000001";when 30 => D<="00000001";when 31 => D<="00000010";when 32 => D<="00000010";when 33 => D<="00000010";when 34 => D<="00000010";when 35 => D<="00000010";when 36 => D<="00000100";when 37 => D<="00001000";when 38 => D<="00001000";when 39 => D<="00000100";when 40 => D<="00000100";when 41 => D<="00000100";when 42 => D<="00000100";when 43 => D<="00000100";when 44 => D<="00001000";when 45 => D<="00010000";when 46 => D<="00010000";when 47 => D<="00010000";when 48 => D<="00000100";when 49 => D<="00000100";when 50 => D<="00000100";when 51 => D<="00001000";when 52 => D<="00000010";when 53 => D<="00000010";when 54 => D<="00000010";when 55 => D<="00000001";when 56 => D<="00000100";when 57 => D<="00010000";when 58 => D<="00010000";when 59 => D<="00000001";when 60 => D<="00000001";when 61 => D<="00000001";when 62 => D<="00000001";when others => null;end case;else D<=A;--如果不进行自动播放,则将输入8位音符直接输出,并且不执行前两个进程的操作end if;end process;end music;音调发生器模块:libraryieee;use ieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entity tone isport(A:instd_logic_vector(7 downto 0);――输入的是8位音符的电平B:out integer range 0 to 170);――输出的是各音符对应的模值end tone;architecturertl of tone isbeginsearch:process(A)begincase A iswhen "00000001" =>B<=95;when "00000010" =>B<=85;when "00000100" =>B<=75;when "00001000" =>B<=71;when "00010000" =>B<=63;when "00100000" =>B<=56;when "01000000" =>B<=50;when "10000000" =>B<=47;when others =>B<=170;end case;end process;endrtl;数控分频模块:libraryieee;use ieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entity control isport(A:instd_logic;――时钟信号B:in integer range 0 to 170;――各音符的模值C:outstd_logic);end control;architectureabc of control issignalspk:std_logic;begintwoclock:process(A,B)――将各个音符按照不同的模值分频得到各音符的频率的2倍variable count1:integer range 0 to 170;beginif(A'event and A='1') thenif count1=B then count1:=0;spk<='1';else count1:=count1+1;spk<='0';end if;end if;end process;reverse:process(spk)――二分频使占空比为50%并直接输出variable count2:std_logic;beginif(spk'event and spk='1') then count2:=not count2;if count2='1' then C<='1';else C<='0';end if;end if;end process;endabc;实验总结:在这次实验中,我们首先想到的是做实现手动操作的电子琴,但是在后面的电子钟实验中整点报时时需要播放一段音乐,因此想到了在电子琴的实验中实现手动操作和自动播放两种模式,,并且也遇到了很多问题。
数电课程设计--简易电子琴
目录1 设计任务 (1)1.1基本任务 (1)1.2 扩展任务 (1)2 设计方案原理 (1)3 单元电路的设计 (2)3.1 多谐振荡器 (2)3.2 琴键开关 (3)3.3 扩音器(喇叭) (4)3.4 器件选择 (4)4 电路图的绘制 (5)5 电路的仿真及调试 (6)6 体会 (6)参考文献 (8)1 设计任务电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。
本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。
虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。
本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。
本次设计的任务为:1.1基本任务①具备8个按键,能够分别较准确地弹奏出1~•1八个音符。
②选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
用Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计报告书。
1.2 扩展任务①能够弹奏出至少21个音符(三个音阶)。
②能够较便捷地完成音阶的升降。
(按一个开关实现升8度,按另一个开关实现降8度)2 设计方案原理本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。
电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。
原理框图如下:图1 原理框图3 单元电路的设计3.1 多谐振荡器利用多谐振荡器产生周期脉冲电路图如下图所示图2 多谐振荡器电路实现图中引脚功能:1脚:GND(或Vss)外接电源负端VSS或接地,一般情况下接地。
2脚:TR低触发端。
3脚:OUT(或Vo)输出端。
4脚:Rd是直接清零端。
当R端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。
数字电路课程设计 八音电子琴
数字电路课程设计八音电子琴一.设计题目及设计要求设有8个模拟音键,不同的按键通过键盘编码其产生相应的按键编码,用按键编码信号控制音调发生器的输出信号频率,从而实现电子琴的功能。
要求设计键盘编码器、音调发生器的硬件电路。
二设计思路设计的总体框图如下主要解决键盘编码器和音调发生器的问题三设计过程及内容1.设计分析与构成设计总体由基准时钟,音调发生器和键盘编码器三大部分组成,并且用示波器代替扬声器以体现在不通按键下的频率不同。
模块一:键盘编码器部分,模块二:音调发生器部分。
2.设计原理及实现过程2.1 键盘编码器键盘编码器使用一块74LS148二进制优先编码器,74LS148引脚及功能图如下当OE输入IE=1时,禁止编码、输出(反码):A2,A1,A0为全1。
当OE输入IE=0时,允许编码,在I0~I7输入中,输入I7优先级最高,其余依次为:I6,I5,I4,I3,I2,I0,I0等级排列。
全功能表如下:键盘编码部分实现对键盘的8-3编码,并在按键时选择输出相应频率的信号。
开关加上非门接入3-8编码器的输入端,使开关闭合时其输入为0,利用3-8编码器使输入0的位置以二进制形式输出,其连接图如下:2.2 音调发生器在输入4MHZ 5V脉冲信号的作用下完成八个状态的循环进行,相当于一个计数器,采用两片74LS161实现一计数器,从00000000到11111111其输出端,第一片上的Q0.Q1.Q2.Q3.及第二片上的Q4.Q5.Q6.Q7为八个音的输出。
两片74LS161级联连接图2.3综合设计电路的实现八音电子琴的总体设计思路框图如下:把3-8编码器的输出与8选1数据选择器的输入相连,将按键位置的二进制形式输入8选1数据选择器,从而决定输出信号,通过8选1数据选择器的输出端输出信号。
8选1数据选择器74LS151的引脚功能图如下:电路设计已经基本完成,用Multisim软件仿真的总电路图如下:四运行结果1.未闭合开关时的波形:2闭合开关1的波形3 闭合开关2的波形4闭合开关3的波形5 闭合开关4的波形6闭合开关5的波形7闭合开关6的波形8闭合开关7的波形9闭合开关8的波形功能分析:通过波形图可知,通过按下不通的按键可以得到不通频率的波形,用扬声器来显示即不通频率的声音,基本实现了八音电子琴的功能。
数字电子电路实训
数字电子电路实训
2. NE555一片, 74LS74 2片, 74LS04一片, 发光二极管3只, 续流二极管3只, 电阻、 电容若干, +5 V直流稳压电源 1台, 反应式步进电机(三相) 1台, 示波器1台。
数字电子电路实训 3. 实训原理 电路如实训图9.1所示。
数字电子电路实训
实训7 简易电子琴电路
1. (1) 掌握用NE555 (2) 了解简易电子琴的组成原理。
数字电子电路实训
2. IC芯片NE555两片 扬声器1个, 琴键开关(或用常用按钮代替)8个, 电阻、 电容若干, 二极管(4148)1只, +5 V直流稳压电源1台, 示波器1 台。
数字电子电路实训
数字电子电路实训
+ 5V
1 k ×3
R
+ 5V
33 0 1 M 4.7 F
78
4
NE555 3
2
61
5
PR DQ
Ⅰ
0.1 F
脉 冲 发生 电 路
DQ Ⅱ
CLR
DQ III
CLR
74 74 ×3 启动
脉 冲 分配 电 路
C BA MO
驱 动 电路 与 步 进电 机
实训图9.1 数控步进电机电路
数字电子电路实训
3. 用555组成多谐振荡器的电路如实训图7.1所示 。
数字电子电路实训
R1 48
7
+VCC
R2
NE 5 553 2
uo
61的振荡器
数字电子电路实训 产生的方波的频率为
f 1 1 1.43 T T1 T2 (R1 2R2 )C
简易电子琴电路如实训图7.2所示。
数码电子琴课程设计
数码电子琴课程设计一、课程目标知识目标:1. 学生能够理解数码电子琴的基本结构、功能及演奏方式。
2. 学生掌握基本的音乐理论知识,如音符、音阶、和弦等,并将其应用于数码电子琴演奏。
3. 学生能够了解不同音乐风格的特点,并运用到数码电子琴演奏中。
技能目标:1. 学生能够熟练操作数码电子琴,包括音色选择、节奏设置、录音等功能。
2. 学生掌握正确的演奏姿势和指法,具备基本的演奏技巧。
3. 学生能够独立完成一首简单曲目的演奏,并具备一定的即兴演奏能力。
情感态度价值观目标:1. 学生通过学习数码电子琴,培养对音乐的热爱和兴趣,提高审美素养。
2. 学生在团队协作中,学会倾听、尊重他人,培养合作精神。
3. 学生在演奏过程中,增强自信心,克服困难,培养坚持不懈的品质。
课程性质:本课程以实践操作为主,结合理论教学,注重培养学生的动手能力、音乐素养和团队协作精神。
学生特点:六年级学生具有一定的音乐基础和数码电子琴操作经验,对音乐充满兴趣,善于模仿和探索。
教学要求:教师应关注学生的个体差异,因材施教,注重激发学生的学习兴趣和潜能,提高学生的实践能力和创新能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容1. 数码电子琴结构及功能介绍:讲解数码电子琴的各部分组成、功能键操作、音色选择、节奏设置等,使学生熟悉并掌握电子琴的基本使用方法。
相关教材章节:第一章《数码电子琴概述》2. 音乐理论基础:教授音符、音阶、和弦等基本音乐理论知识,为演奏打下基础。
相关教材章节:第二章《音乐基础知识》3. 演奏技巧训练:培养学生正确的演奏姿势和指法,教授基本演奏技巧,如音阶、琶音、和弦等进行针对性练习。
相关教材章节:第三章《演奏技巧》4. 曲目学习:选取适合学生程度的曲目进行学习,包括练习曲和简单乐曲,使学生能够独立完成演奏。
相关教材章节:第四章《曲目学习》5. 音乐风格体验:介绍不同音乐风格的特点,引导学生欣赏并尝试演奏不同风格的曲目。
数电课程设计电子琴
《数字电子技术基础简明教程》摘要本次设计所制作的是一块简易电子琴,主要通过NE555定时器芯片构成多谐振荡电路,这个电子琴主要有8个音符,通过8个电位器及琴键开关构成音符控制电路,改变电位器阻值来改变个音符频率,从而达到所要音符频率要求,最后通过小喇叭发出不同频率的声音。
NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同,但它总体上计时精确度高、温度稳定度佳,且价格便宜;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率之脉冲信号。
其延时范围极广,可由几微秒至几小时之久。
555的操作电源电压范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。
关键词:电子琴;NE555定时器;多谐振荡器;电位器;8个音符频率阻值AbstractThis practice is a simple made NE555 timer, mainly through electronic circuit chip resonance swings, the keyboard mainly eight notes, through eight potentiometer and keys switch constitutenotes control circuit, resistance to change a potentiator change notes, thus achieved the frequency to note, through the small frequency of different frequencies of the speaker.NE555 belongs to the timing of the IC 555 series model, one of the series IC 555 feet function and application are compatible, just because the price of the different types of different its stability, save electricity, can produce the oscillation frequency is the same, but it also overall accuracy is high temperature, time, and the stability of cheap price, And a wide use 555 timing and quite common, just a few of the IC resistance and capacitance, can produce all kinds of digital circuit of different frequency pulse signal.Key words:NE555 timer;Many harmonic oscillator,;Potentiometer,;Eight notes frequency resistance目录1 设计要求及方案选择1.1、设计要求1、每个音符的中心频率偏移不大于5Hz;2、能发出1234567i八个音符,也可以是一个音阶;3、音长由弹奏者自由控制;4、主要单元电路和元器件参数计算、选择;5、画出总体电路图;6、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。
数字逻辑电路课程设计简易电子琴
学号xxxxx数字逻辑电路课程设计设计说明书简易电子琴起止日期: 2012 年1 月 2 日至2012 年 1 月 6 日学生姓名xxxx班级xxxx成绩指导教师(签字)电子与信息工程系2012年 1 月 6 日综合实验简单电子琴一、实验目的1.掌握较复杂逻辑的设计、调试.2.进一步掌握用ABEL语言设计数字逻辑电路。
3.熟悉Synario软件的使用方法。
4.熟悉ISP器件的使用.5.了解音调的初步知识.二、实验所用器件和仪表1.PLCC封装的lSPl016或者M4—64/32 1片2.示波器 1台3.万用表 1块三、实验内容1.用ABEL语言设计一个电子琴。
使用TDS实验台上的8个电平开关做琴键。
电平开关输出为高电平时相当于琴键按下,电平开关输出为低电平时相当于琴键松开.电子琴共有C调的8个音:1、2、3、4、5、6、7和1。
2.在Synario中,将设计好的程序输入、编译、连接,生成JEDEC格式的文件.3.将JEDEC格式的文件下载到器件中。
4.在TDS数字电路实验台上对设计进行调试。
调试时用实验台上的小喇叭做发声装置。
四、实验提示1.C调的音符与频率的关系如表5.4所示:台喇叭区域的开关J1置为开路,从“输入”插孔向驱动喇叭的三极管基极送控制信号,则控制喇叭按希望的频率发声.2.设计一个多模计数器,对实验台上的某一时钟(例如1OOKHz)进行分频,产生8种希望的频率。
注意驱动喇叭的方波占空比应是50%,以增大音量.3.根据开关电平输出确定9种状态(包括不发声状态)之间的转换。
4.ISPl016的引引脚图见图9.五、实验步骤1.按照自己的设计,连接好实验箱上的电路图,并且将试验箱与电脑用烧录线连接好。
2.打开Synario软件,新建一个。
syn的文件,命名为hh图1 新建“hh。
syn”文件3.工程创建好后双击工程,选择ispLSI 1000系列的ispLSI1016E-80LJ44芯片图2 选择ispLSI1016E-80LJ44芯片4.完成芯片选择的工程图3 完成芯片选择5.点击new按钮,选ABEL-HDL Module来进行编程图4 选择编程语言6.在编写程序界面编写以下程序DECLARATIONSclock pin 11;clk1 node;sp pin 37 istype 'reg’;do pin 3;re pi n 4;mi pin 5;fa pin 6;so pin 7;la pin 8;xi pin 9;do1 pin 10;q0,q1,q2,q3,q4,q5,q6,q7 node istype ’reg';Q=[q7。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
3
re
mi
4
5
fa
so
低
262
294
330
349
392
中
523
587
659
698
784
高
1046
1175
1318
1397
1568
6 la 440
880
1760
7 ti 494
988
1967
由上表可以看出,中音的频率是低音的2倍,高音的频率是低音的4倍,所以 在设计选频网络时,只要准确地确定低音的频率,改变电容的大小,就能很 方便的调节出高音阶的频率。
单击添加标题
附加功能: LED显示屏 用以显示所按音符或歌词
引脚1:VSS,一般接地; 引脚2:VDD,接电源(+5V); 引脚3:V0,液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时 对比度最高。 引脚4:RS,RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指 令寄存器。 引脚5:R/W,R/W为读写信号线,高电平(1)时进行读操作,低电平(0)时进行 写操作。 引脚6:E,E(或EN)端为使能(enable)端,下降沿使能。 引脚7--10:底4位三态,双向数据总线0--3位; 引脚11—14:高4位三态,双向数据总线4—7位; 引脚15:BLA,背光电源正极; 引脚16:BLK,背光电源负极。
Vi1(TH):高电平触发端,又称阈值 端。 TR:低电平触发端,简称低触发端。 VCO:控制电压端。 VO:输出端。 Dis:放电端。 Rd:复位端
555组成自激多谐振荡器,在⑦脚与电源 之间加入一组音调电阻R1~R8,即是一 架玩具电子琴。未按琴键K1~K5时,时 基电路555不振荡,扬声器不发声;按下 某一琴键时,扬声器依555的振荡频率, 发出相应的声响。
按键抖动问题
当用手按下一个键时,如图4所示,往往 按键在闭合位置和断开位置之间跳几下才稳 定到闭合状态的情况;在释放一个键时,也 回会出现类似的情况。这就是抖动。抖动的 持续时间随键盘材料和操作员而异,不过通 常总是不大于10ms。很容易想到,抖动问题 不解决就会引起对闭合键的识别。
用软件方法可以很容易地解决抖动问题, 这就是通过延迟10ms来等待抖动消失,这之 后,在读入键盘码。
演示视频
实物图片展示
Thanks for your listening!
畅想网络 Imagination Network 感谢观看!
文章内容来源于网络,如有侵权请联系我们删除。
f =1/[0.7(1R+2*2R)*C]
7种不同音阶对应7个不同的频率不,它能实现在按 下7个按键的情况下产生7种不同的音调。 实现基本要求时只要用555构成多谐振荡电路,通过 不同的电阻来获得不同的频率。 通过开关控制不同的电阻所对应的振荡电路的通断 调节相应频率大小,从而产生不同的音调。
C调音阶频率对照如下表所示(频率单位为Hz):
简易电子琴
——建智2班小组
录 目
设计背景 设计原理 样图展示
电子琴是一种键盘乐器,其实 它就是电子合成器。电子琴其 实根本不是一个正确叫法,因 为它形似钢琴,所以就有人叫 它电子琴了。实际上正规的叫 法应该是电子合成器。 它采用大规模集成电路,大多 配置声音记忆存储器(波表)。 用于存放各类乐器的真实声音 波形并在演奏的时候输出。
电子琴发展很快,琴的各项功 能日趋完善。音色和节奏有最 初的几种发展到几百种。合成 器的某些功能,如音色的编辑 修改、自编节奏、多轨录音、 演奏程序记忆等也运用到电子 琴上。
单击添加标题
设计背景
我们知道目前市场上儿童玩具品种花样繁多,而小型电子 音乐类玩具比较少见,结合课程学习和课程实践的需要, 于是我们设计出简单易用、小巧方便的电子琴,来填补这 一空白。
琴键
音符产生
扬声器
音乐
K1
K2 K3
不同定值电阻
LM386声音输出
声音信号
...
NE555
K7
单击添加标题
电子琴 整体电路
单击此处编辑您要的内容,建议您在展示时采用微软雅黑字体,本模版所有图形线条及其 相应素材均可自由编辑、改色、替换。更多使用说明和作品请详阅模版最末的使用手册。
555的外部特性及在此电路中的 连接方式
f=1.43/((R+2R')C) 这是个约等于,其中R指7管脚与电源之 间的电阻,R’指7管脚与6管脚之间的 电阻,C是2管脚与地之间的电容。 实验中通过按键使R的阻值改变,从而 改变振荡频率,扬声器就可与发出不 同的声音,如果R的阻值取得好,扬声 器就可以发出类似电子琴的声音了。
电子琴之所以能产生音乐,是由于不同的电阻在555 组成的多谐振荡电路中产生不同的频率,而频率是 不同的音阶产生的根本原因。而不同的音阶在人听 来就是不同的音调。555构成的振荡器电路可以不需 要外加触发信号,能自动地产生矩形脉冲,这样就可 得到制作电子琴的频率和循环播放的脉冲信号。其 电路图如图12所示。 计算频率应用公式: