EDA实验报告1

合集下载

EDA实验报告

EDA实验报告

EDA实验报告班级:姓名:目录实验一:七段数码显示译码器设计 (1)摘要 (1)实验原理 (1)实验方案及仿真 (1)引脚下载 (2)实验结果与分析 (3)附录 (3)实验二:序列检测器设计 (6)摘要 (6)实验原理 (6)实现方案及仿真 (6)引脚下载 (7)实验结果与分析 (8)实验三:数控分频器的设计 (11)摘要 (11)实验原理 (11)方案的实现与仿真 (11)引脚下载 (12)实验结果及总结 (12)附录 (12)实验四:正弦信号发生器 (14)摘要 (14)实验原理 (14)实现方案与仿真 (14)嵌入式逻辑分析及管脚下载 (16)实验结果与分析 (17)附录 (18)实验一:七段数码显示译码器设计摘要:七段译码器是一种简单的组合电路,利用QuartusII的VHDL语言十分方便的设计出七段数码显示译码器。

将其生成原理图,再与四位二进制计数器组合而成的一个用数码管显示的十六位计数器。

整个设计过程完整的学习了QuartusII的整个设计流程。

实验原理:七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。

本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。

例如当LED7S 输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。

接有高电平段发亮,于是数码管显示“5”。

实验方案及仿真:I、七段数码显示管的设计实现利用VHDL描述语言进行FPGA上的编译实现七段数码显示译码器的设计。

运行QuartusII在G:\QuartusII\LED7S\下新建一个工程文件。

新建一个vhdl语言编译文件,编写七段数码显示管的程序见附录1-1。

EDA-实验报告

EDA-实验报告

实验一五人表决器设计一、实验目的1 加深对电路理论概念的理解3 加深计算机辅助分析及设计的概念4 了解及初步掌握对电路进行计算机辅助分析的过程二、实验要求制作一个五人表决器,共五个输入信号,一个输出信号。

若输入信号高电平数目多于低电平数目,则输出为高,否则为低。

三、实验原理根据设计要求可知,输入信号共有2^5=32种可能,然而输出为高则有15种可能。

对于本设计,只需一个模块就能完成任务,并采用列写真值表是最简单易懂的方法。

四、计算机辅助设计设A,B,C,D,E引脚为输入引脚,F为输出引脚。

则原理图如1所示图1.1 五人表决器原理图实验程序清单如下:MODULE VOTEA,B,C,D,E PIN;F PIN ISTYPE 'COM';TRUTH_TABLE([A,B,C,D,E]->[F])[0,0,1,1,1]->[1];[0,1,1,1,0]->[1];[0,1,0,1,1]->[1];[0,1,1,0,1]->[1];[1,0,1,1,1]->[1];[1,1,0,1,1]->[1];[1,1,1,0,1]->[1];[1,1,1,1,0]->[1];[1,1,1,0,0]->[1];[1,1,0,1,0]->[1];[1,1,1,1,1]->[1];[1,1,0,0,1]->[1];[1,0,0,1,1]->[1];[1,0,1,0,1]->[1];[1,0,1,1,0]->[1];END五、实验测试与仿真根据题目要求,可设输入分别为:0,0,0,0,0;1,1,1,1,1;1,0,1,0,0;0,1,0,1,1。

其测试程序如下所示:MODULE fivevoteA,B,C,D,E,F PIN;X=.X.;TEST_VECTORS([A,B,C,D,E]->[F])[0,0,0,0,0]->[X];[1,1,1,1,1]->[X];[1,0,1,0,0]->[X];[0,1,0,1,1]->[X];END测试仿真结果如图1.2所示:图1.2 五人表决器设计仿真图可知,设计基本符合题目要求。

EDA实验 报告范文

EDA实验 报告范文

实验一:五人表决器一、程序清单library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity vote5 isport(v_in:in std_logic_vector(4 downto 0);lock,clr:in std_logic;v_over:out std_logic_vector(2 downto 0);num_agr,num_opp:out std_logic_vector(3 downto 0);v_out:out std_logic_vector(4 downto 0);led_agr,led_opp:out std_logic);end entity vote5;architecture one of vote5 isbeginprocess(clr,v_in,lock)variable agr,opp: std_logic_vector(3 downto 0);beginif(clr='1')thenled_agr<='0';led_opp<='0';agr:="0000";opp:="0000";if agr="0000" thennum_agr<="0000";end if;if opp="0000"thennum_opp<="0000";end if;v_out<="00000";v_over<="000";elsif(lock'event and lock='1')thenv_out<=v_in;v_over<="111";agr:="0000";opp:="0000";for i in 0 to 4 loopif (v_in(i)<='0') then opp:=opp+1;end if;agr:=5-opp;end loop;num_agr<=agr;num_opp<=opp;if(agr>opp)thenled_agr<='1';led_opp<='0';elseled_agr<='0';led_opp<='1';end if;end if;end process;end architecture one;三.仿真1. 功能仿真波形2.时序仿真波形实验二:九九乘法表系统的设计一、程序清单library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity multiply is --构造体描述4位乘法器port( clk:in std_logic;a,b:in std_logic_vector(3 downto 0);led_data:out std_logic_vector(7 downto 0);seg_sel:out std_logic_vector(2 downto 0) );end multiply;architecture rtl of multiply issignal led_data2,led_data1,led_data0: std_logic_vector(7 downto 0);--LED显示代码,寄存十位个位的数signal displayclk: std_logic;beginprocess(a,b)variable s: std_logic_vector(7 downto 0);--乘积variable bai,shiwei,gewei: std_logic_vector(3 downto 0);--乘积的十位个位begins(7 downto 0):=a(3 downto 0)*b(3 downto 0);if s>="11001000" then bai:="0010";s:=s-"11001000";elsif s>="01100100" then bai:="0001";s:=s-"01100100";else bai:="0000";end if;if s>="01011010" then shiwei:="1001";s:=s-"01011010";gewei:=s(3 downto 0);--90以上 elsif s>="01010000" then shiwei:="1000";s:=s-"01010000";gewei:=s(3 downto 0);--80以上 elsif s>="01000110" then shiwei:="0111";s:=s-"01000110";gewei:=s(3 downto 0); --70以上 elsif s>="00111100" then shiwei:="0110";s:=s-"00111100";gewei:=s(3 downto 0);--60以上 elsif s>="00110010" then shiwei:="0101";s:=s-"00110010";gewei:=s(3 downto 0);--50以上 elsif s>="00101000" then shiwei:="0100";s:=s-"00101000";gewei:=s(3 downto 0);--40以上 elsif s>="00011110" then shiwei:="0011";s:=s-"00011110";gewei:=s(3 downto 0);--30以上 elsif s>="00010100" then shiwei:="0010";s:=s-"00010100";gewei:=s(3 downto 0);--20以上 elsif s>="00001010" then shiwei:="0001";s:=s-"00001010";gewei:=s(3 downto 0);--10以上 else gewei:=s(3 downto 0);shiwei:="0000";end if;case bai iswhen "0001" => led_data2<="11111001";when "0010" => led_data2<="10100100";when others => led_data2<="11111111";end case;case shiwei iswhen "0000" => led_data1<="11000000";when "0001" => led_data1<="11111001";when "0010" => led_data1<="10100100";when "0011" => led_data1<="10110000";when "0100" => led_data1<="10011001";when "0101" => led_data1<="10010010";when "0110" => led_data1<="10000010";when "0111" => led_data1<="11111000";when "1000" => led_data1<="10000000";when "1001" => led_data1<="10010000";when others => led_data1<="11111111";end case;case gewei iswhen "0000" => led_data0<="11000000";when "0001" => led_data0<="11111001";when "0010" => led_data0<="10100100";when "0011" => led_data0<="10110000";when "0100" => led_data0<="10011001";when "0101" => led_data0<="10010010";when "0110" => led_data0<="10000010";when "0111" => led_data0<="11111000";when "1000" => led_data0<="10000000";when "1001" => led_data0<="10010000";when others => led_data0<="11111111";end case;end process;process(clk)variable cnt:integer range 0 to 20000; --1KHZ扫描显示时钟 beginif clk'event and clk='1' then cnt:=cnt+1;if cnt<10000 then displayclk<='1';elsif cnt<20000 then displayclk<='0';else cnt:=0;displayclk<='0';end if;end if;end process;process (displayclk) --显示两位variable cnt2: std_logic_vector(1 downto 0);beginif displayclk'event and displayclk='1' then cnt2:=cnt2+1;if cnt2="01" then seg_sel<="001";led_data<=led_data0;elsif cnt2="010" then seg_sel<="010";led_data<=led_data1;elsif cnt2="11" then cnt2:="00"; seg_sel<="100";led_data<=led_data2;end if;end if;end process;end rtl;二、仿真设计输入文件经maxplus软件开发系统编译、处理,由功能仿真器进行模拟,获得仿真波形如图6所示。

EDA实验报告(全)

EDA实验报告(全)

目录实验一全加器的设计 (1)一实验目的 (1)二实验要求 (1)三实验步骤: (1)四实验结果: (2)五实验注意: (2)六实验心得: (2)实验二模可变计数器的设计 (3)一实验要求 (3)二实验步骤 (3)三、实验心得: (6)实验三序列信号发生器与检测器设计 (7)一、实验目的 (7)二、设计要求 (7)三、主要仪器设备 (7)四、实验原理 (7)五、实验步骤 (8)六、实验心得 (13)实验四交通灯控制器设计 (14)一、实验目的 (14)二、设计要求 (14)三、主要仪器设备 (14)四、实验思路 (14)五、实验步骤 (15)六、实验现象及验证 (22)七、实验心得 (23)实验五多功能数字钟设计 (24)一、实验目的 (24)二、设计要求 (24)三、主要仪器设备 (24)四、实验思路 (24)五、实验步骤 (25)六、实验现象及验证 (31)七、实验心得 (31)实验六出租车计价器设计 (32)一、实验目的 (32)二、实验任务及要求 (32)三、主要仪器设备 (32)四、实验思路 (32)五、实验步骤 (33)六、实验现象及验证 (39)七、实验心得 (39)南昌大学实验报告学生姓名:xx 学号:61004100xx 专业班级:通信101实验类型:□验证□综合□设计□创新实验日期:2012-9-17 实验成绩:实验一全加器的设计一实验目的以一位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路;学习多层次工程的设计方法。

二实验要求⑴用文本方法实现半加器,再采用层次设计法用原理图输入完成全加器的设计;⑵给出此项设计的仿真波形;⑶用发光LED指示显示结果。

三实验步骤:1.(1)建立工作库文件夹,建立半加器工程h_adder,输入半加器VHDL代码并存盘。

library ieee;use ieee.std_logic_1164.all;entity h_adder isport ( a, b :in std_logic;co,so :out std_logic);end entity h_adder;architecture fh1 of h_adder isbeginso<=not(a xor (not b));co<=a and b;end architecture fh1;编译后转换得到半加器的元件符号h_adder(2)在同一工作库文件夹下,建立全加器工程fa,采用层次设计法调用元件半加器h_adder和或门or2完成全加器的原理图文件。

EDA实验报告1

EDA实验报告1

实验1 数码管扫描显示电路实验设计方案1.原理说明单个数码管有8位共用段信号和1位位选信号。

多个数码管显示可以采用动态扫描方式,只要扫描频率足够大,人眼观察到多个数码管均发光,且每个数码管显示值互相不干扰。

复杂的数字系统设计多采用模块化层次设计:自上而下或自下而上的方法。

采用混合模式的工程设计方法是指由设计者完成功能划分后的各层子模块设计可以采用不同设计方法完成,如原理图、硬件描述语言文本、状态机等。

本实验基于混合模式的工程设计流程,电路图由模4计数器、3选1多路选择器、2-3译码电路以及七段译码器组成,动态扫描显示3个数码管的数据。

2.结构框图实验波形仿真操作说明:设置clk为一个周期函数,其周期为100ns,设置din为十进制,din[0]为1,din[1]为2,din[2]为3,然后仿真波形,看输出的七段管qa、qb、qc、qd、qe、qf、qg的波形,通过下载到实验箱可以验证波形的正确性。

实验日志①实验感想:通过这次实验,我了解了Quartus2的平台和基本操作,对于这门课的实验,在课前应该自己先预习和做一部分,以免到课上做不完。

虽然指导书讲的比较仔细,在课上做完实验后,还应对实验原理有一定的理解,不应为了做实验而做实验。

②思考与练习:1.举例说明一个Quartus最小工程所必须维护哪些类型文件?答:编译必需的文件:设计文件(.gdf .bdf .EDIF .tdf .v .vqm .vt .vhd .vht)、存储器初始化文件(.mif .rif .hex)、配置文件(.qsf .tcl)、工程文件(.qpf);编译结束后生成的报告文件(.rpt .qsmg等);编程文件(.sof .pof .ttf等)。

2.图形输入法与硬件描述语言法优缺点是什么?答:图形输入法:(优点)能直接的用元件连接电路图,容易理解和思考,直观,连线简辑方便。

(缺点)连线容易出错,器件容易搞混。

硬件描述语言:(优点)逻辑性强,对实现电路器件和连线较多的逻辑电路较方便(缺点)设计代码需要较强的逻辑能力,消耗较多时间用于思考。

[工学]南京理工大学EDA1实验报告

[工学]南京理工大学EDA1实验报告

EDA设计(一)实验报告实验一单级放大电路的设计与仿真一.实验要求设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。

调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。

在此状态下测试:1、电路静态工作点值;2、三极管的输入、输出特性曲线和 、 Rbe 、Rce值;3、电路的输入电阻、输出电阻和电压增益;4、电路的频率响应曲线和fL、fH值。

二.实验原理三极管在工作正常放大区时,可以起到放大的作用。

但三极管工作在放大区的前提是直流电源为三极管提供合适的静态工作点。

如果三极管的静态工作点不合适,则会导致放大出现饱和或截至失真,而不能正常放大。

当三极管工作在合适的静态点时,三极管有电压放大的作用。

此时表征放大电路的交流参数为输入电阻,输出电阻以及电压放大倍数。

由于电路中有电抗元件电容,另外三极管PN结也有等效电容的作用,所以,对于不同频率的交流输入信号,电路的电压放大倍数是不同的。

电压放大倍数与频率的关系定义为频率特性。

三.单级放大电路原理图四.实验步骤1.调节电路静态工作点(调节电位计Rw),用示波器观察电路出现饱和失真、截止失真和使电路输出信号不失真(并且幅度最大)时输出信号波形,并测试对应的静态工作点值。

(1)当电位计R w为0%时(即滑动变阻器取0欧姆时)电路出现饱和失真;饱和失真波形为下图:由波形图可以看出波形的下部明显被削平,波形处于失真状态,因此可得到饱和失真有削底现象。

此时,电路饱和失真时的静态工作点值为:即管压降ce V =0.1V ,be U =0.659V ,基极电流b I =0.13mA ,集电极电流c I =3mA 。

根据以上数据可分析得ce V <be U 是满足三极管饱和失真条件的,所以此时电路是处于饱和失真的。

EDA技术实验报告(1)

EDA技术实验报告(1)

实验一利用原理图输入法设计4位全加器一、实验目的:掌握利用原理图输入法设计简单组合电路的方法,掌握MAX+plusII的层次化设计方法。

通过一个4位全加器的设计,熟悉用EDA软件进行电路设计的详细流程。

二、实验原理:一个4位全加器可以由4个一位全加器构成,全加器的进位以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的低位进位输入信号cin相接。

1位全加器f-adder由2个半加器h-adder和一个或门按照下列电路来实现。

半加器h-adder由与门、同或门和非门构成。

四位加法器由4个全加器构成1234三、实验内容:1. 熟悉QuartusII软件界面,掌握利用原理图进行电路模块设计的方法。

QuartusII设计流程见教材第五章:QuartusII应用向导。

2.设计1位全加器原理图(1)生成一个新的图形文件(file->new->graphic editor)(2)按照给定的原理图输入逻辑门(symbol->enter symbol)(3)根据原理图连接所有逻辑门的端口,并添加输入/输出端口(4)为管脚和节点命名:在管脚上的PIN_NAME处双击鼠标左键,然后输入名字;选中需命名的线,然后输入名字。

(5)创建缺省(Default)符号:在File菜单中选择Create Symbol Files for Current File项,即可创建一个设计的符号,该符号可被高层设计调用。

3.利用层次化原理图方法设计4位全加器(1)生成新的空白原理图,作为4位全加器设计输入(2)利用已经生成的1位全加器的缺省符号作为电路单元,设计4位全加器的原理图.4.新建波形文件(file->new->Other Files->Vector Waveform File),保存后进行仿真(Processing ->Start Simulation),对4位全加器进行时序仿真。

EDA实验报告含结果图

EDA实验报告含结果图

EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。

2、熟悉EDA-IV实验箱。

3、熟悉EDA开发的基本流程。

二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。

三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。

四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。

实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。

表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。

四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3 downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。

EDA实验报告1_8位全加器

EDA实验报告1_8位全加器

EDA技术与应用实验报告姓名学号专业年级电子信息工程实验题目八位全加器设计实验目的1.熟悉QuartuaⅡ的文本和原理图输入方法设计简单组合电路2.通过8位全加器的设计掌握层次化设计的方法3.学会对实验板上的FPGA/CPLD开发系统硬件电路的编程下载及测试实验原理1.由文本输入利用元件例化语句或者原理图输入封装元件的方式,层次化设计1位全加器2.用原理图输入方法,由1位全加器通过低位进位输出cout与高位进位输入cin以串行方式相连接,构成8位全加器实验内容实验一:用原理图输入法设计8位全加器1.原理图输入完成半加器和1位全加器的设计,并封装入库2.层次化设计,建立顶层文件,由1位全加器构成8位全加器3.每一层次均需进行编译、综合、适配、仿真及实验板上硬件测试实验二:用文本输入法设计8位全加器1. VHDL文本输入完成半加器和一位全加器的设计2. 用元件例化语句由1位全加器设计一个8位全加器3.每一层次均需进行编译、综合、适配、仿真及实验板上硬件测试实验步骤实验一1.设计1位全加器<1>完成对半加器的设计(详见P117),编译、仿真、生成可调用元件h_adder.bsf;<2>完成对1位全加器的设计(详见P118),编译、仿真与下载,生成可调用原件f_adder.bsf;2.利用1位全加器进行8位全加器的设计<1>新建文件夹adder_8bit,作为顶层文件的目录,将底层文件h_adder.bdf、f_adder.bdf拷贝到此目录下。

新建一个初始原理图adder_8bit.bdf,并为其创建project,将三个设计文件加入工程。

<2>在原理图编辑窗口,调入元件f_adder.bsf,连接线路,对引脚命名,完成对8位全加器的设计。

<3>选择芯片EP1K100QC208-3,引脚锁定并再次编译,编程下载,分析实验结果。

实验二1.设计1位全加器<1>分别新建子文件夹,用来保存底层文件或门or2a.VHDL、半加器h_adder.VHDL的设计,并分别建立相应的project,进行编译、综合、适配、仿真,确保无error(详见P72)。

eda实验报告

eda实验报告

EDA技术与 VHDL 实验报告电气工程系电子信息工程实验一: 1 位全加器设计实验目的:I1131.学习 QuartusII9.1 集成开发环境的使用方法以及如何建立工程和文件;2.用原理图输入设计法和VHDL 文本输入设计法设计1 位全加器;3.通过电路仿真和硬件验证,进一步了解1 位全加器的功能I113实验内容:用原理图输入设计法和VHDL 文本输入设计法分别设计1 位全加器,并下载到 CH4 实验箱上运行。

实验原理: 1 位全加器可以由两个半加器和一个或门连接而成, 因而可根据半加器的电路 (如图 3-1 所示)或真值表写出或门和半加器的 VHDL 描述。

然后根据图 3-2 写出全加器的顶层描述。

co a b so co0 0 0 0 aand20 1 1 0bnot xnor2so1 0 1 0111图 3-1半加器 h_adder 电路图及其真值表u1dh_adder a or2aainh_adder ccoutf_addercoutain A coAco f bbinsumbin BsoeBsou3sumcincinu2图 3-2全加器 f_adder 电路图及其实体模块实验步骤:1.打开实验箱电源; 2.输入移位寄存器 VHDL 程序;3.点击图标,进行分析和综合;4. 建立波形文件,进行功能仿真; 5.按接线图配置 FPGA 引脚; 6.点击图标 ,进行编译;7.下载 ****.sof 配置文件到 EP3C16Q240C8中;1 半加器的 vhdl 描述有两种,我用的是下面这种,布尔函数描述法 :( 1)布尔函数描述方法的VHDL 源程序如下:LIBRARY IEEE; --半加器描述 (1):布尔方程描述方法USE IEEE.STD_LOGIC_1164.ALL;ENTITY h_adder ISPORT (a, b : IN STD_LOGIC;co, so : OUT STD_LOGIC);END ENTITY h_adder;ARCHITECTURE fh1 OF h_adder isBEGINso <= NOT(a XOR (NOT b)) ; co <= a AND b ;END ARCHITECTURE fh1;( 2)或门逻辑描述:LIBRARY IEEE ; --或门逻辑描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT (a, b :IN STD_LOGIC;c : OUT STD_LOGIC );END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b ;END ARCHITECTURE one ;( 3) 1 位二进制全加器顶层设计描述:LIBRARY IEEE; --1 位二进制全加器顶层设计描述USE IEEE.STD_LOGIC_1164.ALL;ENTITY f_adder ISPORT (ain, bin, cin : IN STD_LOGIC;cout , sum: OUT STD_LOGIC );END ENTITY f_adder;ARCHITECTURE fd1 OF f_adder ISCOMPONENT h_adder--调用半加器声明语句PORT ( a, b :IN STD_LOGIC;co, so :OUT STD_LOGIC);END COMPONENT ;COMPONENT or2aPORT (a, b : IN STD_LOGIC;c : OUT STD_LOGIC);END COMPONENT;SIGNAL d, e,f : STD_LOGIC; --定义 3 个信号作为内部的连接线。

EDA实验报告

EDA实验报告

实验一七段数码显示译码器一、实验目的:1.设计七段显示译码器,并在实验板上验证。

2.学习Verilog HDL文本文件进行逻辑设计输入。

3.学习设计仿真工具的使用方法。

二、实验原理:七段数码管纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能做十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。

首先要设计一段程序,该程序可按case语句表达方法,再由真值表写出程序。

设输入的4位码为A[3:0],输出控制7段共阳数码管的7位数据LED7s[6:0]。

输出信号LED7s的7位分别接共阳数码管的7个段,高位在左,低位在右。

例如当LED7s输出为“0010010”时,数码管的7个段g、f、e、d、c、b、a分别接0、0、1、0、0、1、0;接有低电平的段发亮,于是数码管显示“5”。

这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,然后将LED7s改为8位输出。

图1图2三、实验器材:MagicSOPC实验箱,电脑,QutersII软件、四、实验步骤步骤1.新建一个文件夹打开vhdl文件步骤2:编写源程序并保存module translation7(A,seg,DIG);input [3:0] A;output[6:0] seg;output[7:0] DIG;reg [6:0] seg;always@(A) begincase(A)4'b1111:seg<=7'b1000000;4'b1110:seg<=7'b1111001;4'b1101:seg<=7'b0100100;4'b1100:seg<=7'b0110000;4'b1011:seg<=7'b0011001;4'b1010:seg<=7'b0010010;4'b1001:seg<=7'b0000010;4'b1000:seg<=7'b1111000;4'b0111:seg<=7'b0000000;4'b0110:seg<=7'b0010000;4'b0101:seg<=7'b0001000;4'b0100:seg<=7'b0000011;4'b0011:seg<=7'b1000110;4'b0010:seg<=7'b0100001;4'b0001:seg<=7'b0000001;4'b0000:seg<=7'b0001110;default:seg<=7'b1111111;endcaseendassign DIG=8'h00;endmodule3.新建一个工程及进行工程设置4.打开并建立新工程管理窗口。

EDA实验报告一

EDA实验报告一

EDA实验报告| 实验一:全加器设计一.实验目的:1.通过实验初步了解EDA的基本概念;2.初步掌握用VHDL语言及原理图进行单元设计的方法;3.初步了解层次设计法;4.熟悉EDA开发软件的操作。

二.实验内容设计一个两位全加器,并用发光二极管显示结果。

三.实验要求全加器的三个输入(二个数字输入,一个进位输入)用实验箱中W1,SW2,SW3控制,二个输出用发光管LED1,LED2显示。

整个设计采用层次设计方法,顶层文件采用原理图输入法。

整个电路设计思路分三部分:1半加器电路设计;2.全加器电路设计,是在半加器的基础上设计的;3.数据输入,输出电路设计。

四.实验步骤1.半加器设计:编写halfaddr.vhd(编辑、设为当前项目、选择器件、编译、仿真无误)2.全加器设计:编写addr.vhd(用结构描述方法的方法、用COMPONENT halfaddr作两次半加构成全加器;编辑、设为当前项目、选择器件、编译、仿真无误)3.顶层文件设计:编辑好endaddr.gdf(用原理图输入设计方法、全加器符号、输入输出端口信号、设为当前项目、选择器件、编译、仿真无误),并观察系统设计的层次结构4.管脚锁定:根据EPF10K10引脚对应表分配好管脚,并进行定时分析6.实验编程下载:确认管脚分配正确,跳线正确,下载程序到芯片。

观察实验现象SW1(a30) LED1(s16) LED2(co17)0 1 0 ▲1 0 0 ▲1 1 0 ▲0 0 1 ▲0 1 1 ▲1 0 1 ▲1 1 1 ▲▲(其中▲代表LED灯亮)五.思考题参考以上方法产生的一位全加器endaddr.gdf:设计出二位全加器。

以下是2endaddr.gdf:经老师验证,结果正确。

六.实验心得:首先谢谢赵老师的细心和悉心帮助。

虽然先前有先使用过软件,但没琢磨透,因此第一次实验都是在尽量学会使用这个软件^_^ 如今基本掌握做实验可以把自己的思路和课本的理论结合起来,受益匪浅;而能把实验正确结果做出来又是很快意的。

EDA试验报告一

EDA试验报告一

EDA实验报告姓名:黄明华班级: 09电气2班学院:机电工程学院学号: 20090502310049指导老师:刘虹EDA实验一设计含异步清零和同步加载与时钟使能的计数器一、实验目的熟悉QuartusII的VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。

掌握原理图与文本混合设计方法。

二、实验原理在进程语句中含有两个独立的IF语句。

(1)第一个IF语句是非完整的条件语句,因而将产生计数器时序电路;(2)第二个IF语句产生一个纯组合逻辑的多路选择器。

三、实验内容根据4.1节在QuartusII上对例3-20进行编辑、编译、综合、适配仿真。

说明例句中各语句作用。

给出所有时序信号的仿真波形,根据波形详细描述词设计的功能特点,包括RST、EN、LOAD、DATA、CLK等型号的同步与异步特性。

查阅编译后的计数器的时序特点,从时序仿真图和了解从计数器时钟输入至计数数据输出的延时,包括不同优化约束后的改善情况,以及当选择不同FPGA目标器件后延时差距和毛刺情况,给出分析报告。

四、程序设计与分析LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT(CLK,RST,EN,LOAD :IN STD_LOGIC;DATA:IN STD_LOGIC_VECTOR(3 DOWNTO 0);DOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT10;ARCHITECTURE BEHAV OF CNT10 ISBEGINPROCESS(CLK,RST,EN,LOAD)VARIABLE Q :STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINIF RST='0' then q:=(OTHERS=>'0');ELSIF CLK'EVENT AND CLK='1' THENIF EN='1' THENIF(LOAD='0') THEN Q:=DATA;ELSEIF Q<9 THEN Q:=Q+1;ELSE Q:=(OTHERS=>'0');END IF;END IF;END IF;END IF;IF Q="1001"THEN COUT<='1';ELSE COUT<='0'; END IF;DOUT<=Q;END PROCESS;END BEHAV;(1)当时钟信号CLK、复位信号RST、时钟使能信号EN或加载信号LOAD中任一信号发生变化,都将启动进程语句PROCESS。

EDA实验报告

EDA实验报告

微波电路EDA 实验报告实验一微带天线一、设计要求设计一个微带缝隙天线,工作频率3.75GHz,基片的介电常数为2.33,厚度为30mil。

天线采用内部端口馈电,开放边界条件(即基片处于空气中)。

要求画出天线的电磁结构图,设计匹配网络,使天线取得最大辐射功率。

对天线进行电磁仿真分析,观察二维及三维的电流分布情况。

记录微带天线的结构图、匹配电路,以及最终的匹配结果。

二、实验仪器硬件:PC机软件:Microwave Office软件三、设计步骤简要的写明主要设计步骤,计算的参数,创建的电路图、测量图。

具体的软件操作步骤不用写。

1、创建新工程。

并将单位设为:GHz,mil。

2、设置边界条件在Enclosure标签页,设单位:mil;X-Dimension=3000,X-Divisions=60,Y-Dimension=3000,X-Dvisions=60;定义介质层:Layer1,Thickness项设为300,er设为1;Layer2,Thickness项设为30,er设为2.33;Layer3,Thickness项设为300,er设为1,其余不变;设置边界属性:选择Boundaries标签页,Enclosure Top及Bottom均选Approximate open项。

a天线的结构尺寸图 b 实验绘制电路图一3、绘制缝隙天线天线的结构尺寸如图1所示。

在Layer2层画缝隙天线,绘制时分为4部分,上、下各一个不规则矩形,中间2个小矩形,在上方小矩形的下边缘添加Internal Port(内置端口)。

图二原理图三维视图4、设置工作频率在工程浏览页,双击Project Options项,设置工作频率范围:1~8GHz,阶长0.01GHz;再选择EM Structure\Slot Antenna项,点右键,选Options项,在Frequency V alues页去掉Use project frequency项前的选钩,设置非线性仿真频率:1~8GHz,阶长0.5GHz;再选择Mesh标签页,去掉Use project frequency项前的选钩,设网格密度为Low,单元大小限制依次为10、10、4、4。

EDA实验报告-实验1-简单组合逻辑设计

EDA实验报告-实验1-简单组合逻辑设计

暨南大学本科实验报告专用纸课程名称EDA实验成绩评定实验项目名称简单组合逻辑设计指导教师郭江陵实验项目编号01 实验项目类型验证实验地点B305 学院电气信息学院系专业物联网工程组号:A6一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。

EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为 2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。

请参考前面第二章中关于“电源模块”的说明。

二、实验目的1、熟悉Max+Plus II下简单的VHDL文本方式设计。

2、学习使用JTAG接口下载逻辑电路到CPLD并能调试到正常工作。

3、熟悉数字电路集成设计的过程。

三、实验原理译码器是把输入的数码解出其对应的数码,例如:BCD至7段显示器执行的动作就是把一个四位的BCD码转换成7个码的输出,以便在7段显示器上显示这个十进制数。

译码器有N个二进制选择线,那么最多可译码转换成2N个数据。

当一个译码器有N条输入线及M条输出线时,则称为N×M的译码器。

3×8译码器是依此而来。

3×8译码器真值表如下表所示:四、实验内容把译码器的输入接到拨码开关,输出端接8个LED灯,通过拨码开关改变输入的逻辑电平变化来观察LED输出情况,验证3×8译码器的工作状态。

五、实验要求学习使用Max+Plus II 的使用VHDL 语言组成简单的数字逻辑电路。

六、设计框图及原理图首先判断使能端口EN 状态,当其满足高电平时,判断三个输入端口A2、A1、A0的状态来决定输出,如使能端口为低电平则固定输出不受三个逻辑输入A2、A1、A0的影响,使能有效时按照三个输入状态来决定八个输出的状态。

EDA设计实验报告

EDA设计实验报告

EDA设计(一)实验报告专业:电气工程及其自动化摘要通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。

要求:1. 熟悉Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。

2. 能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。

Multisim常用分析方法:直流工作点分析、直流扫描分析、交流分析。

掌握设计电路参数的方法。

复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。

了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。

关键字:电路仿真 Multisim 负反馈阶梯波目录实验内容:实验一:单级放大电路的设计与仿真--------------------------2 实验二:差动放大电路的设计与仿真--------------------------13 实验三:负反馈放大电路的设计与仿真-----------------------21 实验四:阶梯波发生器的设计与仿真--------------------------29实验一:单级放大电路的设计与仿真一.实验目的1. 掌握放大电路静态工作点的调整和测试方法。

2. 掌握放大电路的动态参数的测试方法。

3. 观察静态工作点的选择对输出波形及电压放大倍数的影响。

二.实验内容1.放大电路原理图:2.饱和失真,截止失真,不失真的波形图及静态工作点:A)饱和失真:正半轴最大幅度:3.767mV负半轴最大幅度:-3.321mV幅度差值大于10%,故可以认为已失真,且为饱和失真。

饱和时三极管静态工作点:三极管Vce=2.96318-2.91560<<1VB)截止失真:正半轴最大幅度:323.6mV负半轴最大幅度:374.5mV幅度差值大于10%,故可以认为已失真,且为截止失真。

截止时三极管静态工作点:三极管Vce=6V>>1V。

eda实验报告1

eda实验报告1

EDA实验报告1——异步复位同步加载十进制加法计数器一、实验目的(1)、进一步熟悉和掌握Quartus II软件的各模块功能和使用方法;(2)、加深对VHDL语言的了解,熟悉VHDL 语言的语法特点,深刻了解Quartus II仿真中出现的各种问题并能加以解决。

二、实验要求(1)、采用文本输入法设计异步复位同步加载十进制加法计数器。

(2)、编写VHDL源程序,得出正确的仿真波形,并在实验开发系统上进行硬件测试。

三、实验原理异步复位是指复位信号有效时,直接将计数器的状态清零。

在本设计中,通过VHDL来设计一个异步复位同步加载十进制加法计数器。

异步复位同步加载十进制加法计数器有5个输入CLK,RST,EN,LOAD,DATA,2个输出DOUT,COUT。

当时钟信号CLK、复位信号RST、时钟使能信号EN或加载信号LOAD 中的任一位信号发生变化,都将启动进程语句PROCESS。

此时如果RST为‘1’,则看是否有时钟的上升沿;如果此时有CLK信号,且又测得EN=‘1’,接下来就是判断加载控制信号LOAD的电平。

如果LOAD为低电平,则允许将输入口的4位加载数据置入计数寄存器中,以便计数器在此数基础上累加计数。

如果LOAD为高电平,则允许计数器计数;此时若满足计数值小于9,即Q<9计数器将正常计数,即执行语句“Q:=Q+1;”否则将计数器清零。

但如果测得EN=’0’,则跳出IF语句,是Q保持原值,并将计数器向端口输出:“DOUT<=Q;”。

四、实验步骤1、新建一个文件夹ch3.20。

2、输入源程序。

打开Quartus II,做以下步骤,如下:图1—选择文本编辑编辑程序并存盘,存盘文件与实体名一致:图2—存盘好的源程序3、编译,红色光标所指即为Compilation命令:图3—选择编译器编译之后生成的报告:图4—编译成功后的报告4、生成RTL寄存器:选中Tools:图5—选择Tools接着鼠标指向Netlist viewer的第一项RTL viewer。

EDA实验报告一

EDA实验报告一

EDA实验报告实验一3/8 译码器一.实验目的1.学习QuartusⅡ的基本操作;2.熟悉教学实验箱的使用3.设计一个3/8 译码器;4.初步掌握VHDL语言的设计输入,编译,仿真和调试过程;二.所用器件EDA实验箱、EP1K10TC100-3器件三.实验步骤按照教学课件《QUARTUS II 使用方法》,学习QuartusⅡ软件的使用方法:1.在WINDOWS 界面双击QuartusⅡ图标进入QuartusⅡ环境;2.单击File 菜单下的New Project Wizard: Introduction 按照向导里面的介绍新建一个工程并把它保存到自己的路径下面。

(注意路径当中不要有中文和空格)3.单击File 菜单下的New,选择VHDL File 后单击OK,就能创建一个后缀名为.vhd 的文本文件。

另外,如果已经有文本存在,可以按File 菜单里面的Open 来选择你的文件。

4. 改错并重新编译;5. 建立仿真波形文件并进行仿真;6. 选择器件及分配引脚,重新编译;7. 根据引脚分配在试验箱上进行连线;8. 程序下载,观察实验结果并记录;四,实验内容(1)3/8 译码器的VHDL源程序:library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity dec3_8 isport (din : in std_logic_vector(2 downto 0) ;dout : out std_logic_vector(7 downto 0));end dec3_8 ;architecture RTL of dec3_8 isbegindout <= "10000000" when ( din = "111" )else"01000000" when ( din = "110" )else"00100000" when ( din = "101" )else"00010000" when ( din = "100" )else"00001000" when ( din = "011" )else"00000100" when ( din = "010" )else"00000010" when ( din = "001" )else"00000001" when ( din = "000" ) ;end RTL ;(2)仿真波形如下图1图1视图可知:当输入全为低电平时,即din=“000”时,输出dout(0)为高电平即dout=“00000001”;当输入din(0)为高电平时,即din=“001”时,输出dout(1)为高电平,即dout=“00000010”.......当输入全为高电平时,即din=“111”时,输出为dout(7)为高电平即dout=“10000000”,可知实现了3/8译码器的功能,即仿真成功。

《电路与模拟电子技术》EDA实验报告(实验一)

《电路与模拟电子技术》EDA实验报告(实验一)

《电路与模拟电子技术》EDA实验报告(实验一)
一、实验目的:
1、验证叠加原理的正确性;
2、验证戴维南定理;
二、实验内容
求下图电路的戴维南等效电路,用此电路验证叠加原理的正确性。

1、戴维南等效电路。

第一步:测ab间的开路电压
第二步:测ab间的短路电流
第三步:求等效电阻:
R=18V/4.5A=4Ω
第四步:ab间的电流为I=18V/(4+8)Ω =1.5A
2、验证叠加原理的正确性
第一步:电路中只有电流源作用时,测出ab间的电流
第二步:电路中只有电压源作用时,测出ab间的电流
第三步:算出ab间的电流I=2A-500mA=1.5A
三、实验总结:
在仿真的过程中出现的问题:
验证叠加原理的正确性的第一步时,遇到错误,截图如下:
原因可能为直接在电压源的两端加了一根导线使其短路,将电压源去掉解决了该问题。

心得:此次实验让我掌握了模拟电路中万能表的使用以及multism7
简单的操作,让我对叠加原理和戴维南定理有了更深刻的了解;实验中遇到到错误让我明白做事要细心,不要想当然地按自己认为的去做。

电子线路EDA实验报告

电子线路EDA实验报告

电子线路E D A实验报告-CAL-FENGHAI.-(YICAI)-Company One1实验一五人表决器的设计一、实验目的1.了解和初步掌握ISPLENVER软件的基本操作方法,Abel语言的编写。

2.加深电路设计的概念以及了解计算机辅助设计分析的过程。

二、实验内容及步骤本实验要求利用ISPLEVER软件完成对五人表决器的设计及仿真,表决的规则是:多数胜少数。

分析题意,我们可以知道此次仿真应有五个输入端口,一个输出端口。

分别设置其A、B、C、D、E为输入端口,F为输出端口。

可分析:五人中任意三人通过则表决通过,故得到其逻辑表达式为:F=ABC+ABD+ABE+ACD+ACE+ADE+BCD+BCE+BDE+CDE实验步骤1、打开IPSPLEVER软件,新建一个项目,命名为vote.syn。

2、在新建项目的基础上新建一个原理图文件,命名为vote51.sch。

运用软件按绘制原理图如下所示:图1:五人表决器原理图3、在顶层原理图的基础上,为模块编写ABEL语言程序,原理图中建立了V3模块,新建立一个程序V3.abl文件。

MODULE V3A,B,C,D,E PIN;F PIN ISTYPE 'com';EQUATIONSF=A&B&C#A&B&D#A&B&E#A&C&D#A&C&E#A&D&E#B&C&D#B&C&E #B&D&E#C&D&E;END4、编写此项目的仿真文件程序vote50.abv得到:MODULE vote50A,B,C,D,E,F PIN;X=.X.;TEST_VECTORS([A,B,C,D,E]->[F])[1,1,1,1,1]->[X];[1,1,0,1,1]->[X];[1,1,0,0,1]->[X];[1,1,0,0,0]->[X];[0,0,0,0,1]->[X];[0,0,0,0,0]->[X];END5、对此项目文件进行仿真,得到仿真结果如图:图2:实验结果仿真分析三、实验结果分析本次实验为五人表决器的设计,要求A、B、C、D、E五个输入中不少于三个为1,那么实验结果输出即为1。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验报告
专业电子信息工程班级_ 学号姓名
实验日期: 2011年18 日报告退发 (订正、重做)
课程名称 EDA技术实验项目名称组合\时序逻辑设计仿真测试
一、实验目的
通过本次实验掌握三线-八线译码器,数据选择器,数据比较器,二进制编码器,译码器,D触发器,移位寄存器,二进制计数器的Velilog语言输入方法,掌握仿真器的使用方法。

二、实验环境
硬件环境:电脑一台
软件环境:Quartus II 9.0 (32-Bit)
三、实验内容、步骤和结果分析
1.三线-八线译码器
module exam38(a,b,c,en,y);
input a,b,c,en;
output[7:0] y;
reg[7:0] y;
always @(a or b or c or en)
begin
if(en) y=8'b11111111;
else
begin
case({c,b,a})
3'b000: y<=8'b11111110;
3'b001: y<=8'b11111101;
3'b010: y<=8'b11111011;
3'b011: y<=8'b11110111;
3'b100: y<=8'b11101111;
3'b101: y<=8'b11011111;
3'b110: y<=8'b10111111;
3'b111: y<=8'b01111111;
endcase
end
end
endmodule
仿真结果:
2.4选1数据选择器
module example4(z,a,b,c,d,s1,s2);
input a,b,c,d;
input s1,s2;
output z;
reg z;
always @(s1,s2)
begin
case({s1,s2})
2'b00: z=a;
2'b01: z=b;
2'b10: z=c;
2'b11: z=d;
endcase
end
endmodule
仿真结果:
3. 二进制编码器
4.数据比较器
module comp(A,B,LG,EQ,SM);
input[1:0] A,B;
output LG,EQ,SM;
assign {LG,EQ,SM}=FUNC_COMP(A,B);
function [2:0] FUNC_COMP;
input [1:0] A,B;
if(A>B)
FUNC_COMP=3'b100;
else if(A<B)
FUNC_COMP=3'b001;
else
FUNC_COMP=3'b010;
endfunction
endmodule
仿真结果:
5.BCD译码器
6.D触发器
module R_SY_D_FF (RB,D,CLK,Q,QB);
input RB,D,CLK;
output Q,QB;
reg Q;
assign QB=~Q;
always @(posedge CLK or negedge RB) Q<=(!RB)? 0:D;
endmodule
仿真结果:
7.(1) 串入并出移位寄存器
module R_SY_D_FF (RB,D,CLK,Q,QB);
input RB,D,CLK;
output Q,QB;
reg Q;
assign QB=~Q;
always @(posedge CLK or negedge RB)
Q<=(!RB)? 0:D;
endmodule
仿真结果:
(2)并入串出移位寄存器
module PIN_POUT_SHIFT (LOAD,IN,CLK,Q);
input LOAD,CLK;
input [3:0]IN;
output [3:0]Q;
reg [3:0]Q;
always @(posedge CLK or posedge LOAD)
if(LOAD)
Q<=IN;
else
Q<=Q<<1;
Endmodule
仿真结果:
8二进制计数器
module cnt4e(clk,clr,ena,cout,q);
input clk,clr,ena;
output [3:0]q;
output cout;
reg [3:0]q;
always @(posedge clr or posedge clk)
begin
if(clr) q='b0000;
else if(clk) q=q+1;
end
assign cout=&q;
endmodule
仿真结果:
四、讨论、总结
通过本次实验,我掌握三线-八线译码器,数据选择器,数据比较器,二进制编码器,译码器,D触发器,移位寄存器,二进制计数器的Velilog语言输入方法,并掌握仿真器的使用方法。

在实验中,对时序仿真的截止时间等仿真条件的设置还是有很多不懂的地方,对仿真结果的分析也欠缺分析能力,今后还要进一步多加练习,进一步掌握好仿真的方法。

相关文档
最新文档