数字定时器资料

数字定时器资料
数字定时器资料

电子系统设计

数字定时器

实验报告

学校:苏州大学

学院:城市轨道交通学院

班级:通信工程

组员:张强强朱宇翔肖伟健吴易洲

前言

在电子技术飞速发展的今天,电子产品逐渐趋向人性化和智能化。人们人们为了实现这一目的而引入了单片机。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目前单片机已渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。单片机已在广阔的计算机应用领域中表现得淋漓尽致电器因此,单片机已成为电子类工作者必须掌握的专业技术之一。

这次实验,我们组是以单片机为核心设计一个数字定时器。在实验过程中,我们开始了解电系统设计的和基本理念,基本规则和基本流程;在不断完善设计的过程中,我们逐步丰富和巩固自己的理论知识,培养积极思考解决问题的习惯并充分地发挥自己动手实验操作的能力。

可以说这次实验将我们所学的《单片机原理与应用》以及《电子系统设计》两门课程进行了有机的结合。通过解决实际问题,我们对原理有了更深刻的理解,对于应用有了更广泛的接触。另外实验中我们学会使用Proteus和Keil两款软件进行单片机电路的仿真以及程序的编写及联调。这些都为我们以后的课程设计乃至工作研究奠定了厚实的基础。

这次的实验中,我们以单片机实现计时和倒计时功能,由LED 显示剩余时间,显示格式为 XX(分),精确到1分的整数倍。虽然接触到的功能模块较多,包括接口模块、中断模块、存储模块、控制模块和显示模块等,但仍然只是单片机这门学问的皮毛,在以后的学习中我们还需要不断汲取知识,不断地将理论与实践结合。

本次实验有本小组4位组员共同完成,张强强负责,朱宇翔负责,吴易洲负责,肖伟健负责。

编者注

2012年12月12日

目录

第1部分实验概述

1.1 设计要求……………………………………………………1.2 数字定时器系统的基本理论………………………………1.3 设计方案……………………………………………………1.4 硬件电路工作原理…………………………………………

第2部分程序设计

2.1 整体结构……………………………………………………2.2 资源分配……………………………………………………2.3 程序流程……………………………………………………2.4 程序编写……………………………………………………

第3部分仿真验证

3.1 Keil 与Proteus联调仿真…………………………………3.2 实物连接仿真………………………………………………

第4部分实验总结

4.1 问题分析……………………………………………………4.2 小结…………………………………………………………

第1部分实验概述

1.1实验要求

1定时时间的设置范围为1~99min,开机上电后隐含值为10min。

2 使用0.5英寸红色LED数码管显示时间。

3 定时时间可以用按键或其他方式输入。

4 定时器控制一个交流220V,1A的用电设备,上电是不允许用电设备瞬间通电。

5 定时时间设定后,启动计时,用电设备通电,同时显示器逐分倒计时。

6 计时到0分时,切断用电设备电源。

7由用电设备提供+12V电源。

8尽量减少器件成本。

1.2 数字定时器系统的基本理论

本设计将采用89C51单片机,89C51单片机是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。单片机自带5个中断,两个16位定时器32个I/O口,可擦除只读存储器可以反复擦除多次,功能相当强大。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的89C51是一种高效微控制器。89C单片机为

很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

1.3 方案设计

数字定时器系统的基本组成如图1所示。

图1 数字定时系统电路的原理框图

数字定时系统电路的原理框图根据设计任务与要求,可初步将系统分为五大功能模块:主电路、按键电路、显示电路、继电器电路。进一步细说,主电路选用AT89C51作为中央处理器,系统采用12MHZ的晶振;按键控制电路由两个个按键(启动键(start)、时间设定键(set))组成,采用三个独立开关,按键按下产生一个脉冲信号;显示电路由二位8段共阴极数码管和一个9位100欧姆上拉排阻组成,位选,个位和十位由P0输出,段选由P1输出;继电器电路由一个继电器和一个用电器(灯泡)组成,通过电路与P3.0相连。当P3.0输出高电平时,继电器不吸合,灯亮。

1.4 硬件电路的工作原理

1.4.1单片机最小系统的设计模块

硬件连接说明:本系统以AT89C51单片机为核心。单片机采用内部振荡的方式。100欧姆排阻与一个2位8段共阴LED数码显示管相连。从P0口输出LED 数码管的字形码,从P0口输出LED数码管的位选码,高电平有效。2个功能按键和P3.2和P3.3口相连,按键另一端接地,当按键按下,产生一个脉冲信号。继电器电路则与P3.0口相连,当P3.0口输出高电平时,用电器工作。

1.4.2晶振复位电路设计

由于单片机内部振荡方式电路简单,时钟信号比较稳定,是独立的单片机应用系统的首选,故本设计采用内部振荡方式,采用12MHZ的晶振。复位电路通过电解电容和电阻形成振荡电路,通过开关按键来形成复位。数字定时系统电路的晶振复位电路图如图2所示。

图2 数字定时系统电路的晶振电路图

1.4.3定时中断

本设计电路采用定时器T0产生定时中断,由于本设计需要0.1s的基本时间,故选择其工作在定时方式1下。这时定时器T0是一个16位的计时器,由它产生50ms的基本定时中断,20次中断后将得到1s的时间,每60s设定时间减1。

1.4.4按键电路

按键电路由两个独立按键组成。独立式按键占用I/O口线较多,适用于按键较少的情况。矩阵式键盘占用的I/O口相对较少,适用于按键很多的情况。本实验共设置2个按键,每个按键分别完成设置和开始的功能。其电路连接图如图3所

示。

图3 按键电路图

1.4.5 继电器电路

继电器电路将采用P3.0口驱动,根据实验要求,当按下开始键后,P3.0变为高电平,继电器开关断开,用电器工作,直到计时结束数码管全灭时P3.0口输出变为低电平,继电器吸合,用电器被断开,工作结束。其电路连接图如图4所示。

图4 继电器电路图

1.4.6显示电路

对于显示电路,本设计采用2位8段共阴极LED数码管显示。上电显示隐含上电时间10min。两位数码管在亮灭间闪烁显示,观察到数码管在1,0间跳转。当数码管闪烁显示时,表示系统处于等待状态。此时按SET设置键,我们能够增加倒计时的时间,时间范围为1-99min。我们按下START开始键后数码管停止闪烁,定时器开始倒计时。我们能看到数码管逐分倒计时,直到计时结束,数码管全灭。其显示电路图如图5所示。

数字定时器资料

电子系统设计 数字定时器 实验报告 学校:苏州大学 学院:城市轨道交通学院 班级:通信工程 组员:张强强朱宇翔肖伟健吴易洲

前言 在电子技术飞速发展的今天,电子产品逐渐趋向人性化和智能化。人们人们为了实现这一目的而引入了单片机。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目前单片机已渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。单片机已在广阔的计算机应用领域中表现得淋漓尽致电器因此,单片机已成为电子类工作者必须掌握的专业技术之一。 这次实验,我们组是以单片机为核心设计一个数字定时器。在实验过程中,我们开始了解电系统设计的和基本理念,基本规则和基本流程;在不断完善设计的过程中,我们逐步丰富和巩固自己的理论知识,培养积极思考解决问题的习惯并充分地发挥自己动手实验操作的能力。 可以说这次实验将我们所学的《单片机原理与应用》以及《电子系统设计》两门课程进行了有机的结合。通过解决实际问题,我们对原理有了更深刻的理解,对于应用有了更广泛的接触。另外实验中我们学会使用Proteus和Keil两款软件进行单片机电路的仿真以及程序的编写及联调。这些都为我们以后的课程设计乃至工作研究奠定了厚实的基础。 这次的实验中,我们以单片机实现计时和倒计时功能,由LED 显示剩余时间,显示格式为 XX(分),精确到1分的整数倍。虽然接触到的功能模块较多,包括接口模块、中断模块、存储模块、控制模块和显示模块等,但仍然只是单片机这门学问的皮毛,在以后的学习中我们还需要不断汲取知识,不断地将理论与实践结合。 本次实验有本小组4位组员共同完成,张强强负责,朱宇翔负责,吴易洲负责,肖伟健负责。 编者注

数字定时器资料

北京理工大学2012级电子技术课程设计 数字定时器

第1章概述 1.1设计目的 1、学习掌握电子技术基础知识和具体电路设计能力; 2、熟练掌握EDA仿真的使用方法; 3、增强学生的实践能力和遇到各种问题后寻找问题原因、解决问题的能力。 1.2设计要求 1、计时显示范围要求自00时00分00秒到23时59分59秒; 2、具有校时功能,可对小时、分、秒分别进行校准; 3、要求预选时刻到达时被控对象连续响10秒,蜂鸣器在10秒内断续鸣叫5次,即响1秒停1秒。 第2章设计与仿真 2.1工作原理 数字定时器由振荡电路、分频电路、计时电路、数码管显示电路、校时电路和闹钟电路组成。 由振荡电路产生2Hz的方波信号,经分频器产生1Hz和0.5Hz的信号,其中计时电路用1Hz信号,闹钟电路用0.5Hz信号,校时电路用2Hz与1Hz信号。计时电路使用的是6片CD4518和5片CD4028,分秒计数器为60进制,时计数器为24进制,CD4028输出进位脉冲给下一位的CP端。当计数器满24小时时,输出清零信号给各计数器完成清零,重新计数。校时电路由CD4015与一些门电路组成,闹钟电路由门电路和蜂鸣器组成。 2.2分部设计与仿真 2.2.1振荡电路 振荡电路可用555定时器与RC组成的电路实现,如图2-1所示。

图2-1 555定时器RC振荡电路 OUT管脚输出的即为2Hz的方波信号。 2.2.2分频电路 因为需要用到1Hz与0.5Hz的方波信号,所以把74LS160设计成分频器,把振荡电路2Hz的信号接到CLK管脚,则其QA端输出的为1Hz方波信号,QB 端输出的为0.5Hz方波信号,电路如图2-2所示。 图2-2 分频电路

数字定时器设计

设计任务 设计一个数字定时器 设计要求 1,定时1-99分钟,开机上电并且默认10分钟。 2,显示时间自选,不一定使用数码管。 3,定时时间可调,调试方式为两种,一是步进,二是直接输入。 4,有负载,220V/A,要求开机上电的时候,负载关闭。 5,有相关的提示信息,一旦时间设定之后,会给出时间设定的提示信息。 6,定时时间到,关闭电晕啊,切断负载电源,关闭电源。 7,系统工作电源V in=+12V 设计基本理论 本设计将采用89C51单片机,89C51单片机是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。单片机自带5个中断,两个16位定时器32个I/O口,可擦除只读存储器可以反复擦除多次,功能相当强大。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的89C51是一种高效微控制器。89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 主体模块设计 数字定时器系统的基本组成如下图所示

数字定时系统电路的原理框图根据设计任务与要求,可初步将系统分为五大功能模块:主电路、按键电路、显示电路、继电器电路。进一步细说,主电路选用AT89C51作为中央处理器,系统采用12MHZ的晶振;按键控制电路由两个个按键(启动键(start)、时间设定键(set))组成,采用三个独立开关,按键按下产生一个脉冲信号;显示电路由二位8段共阴极数码管和一个9位100欧姆上拉排阻组成,位选,个位和十位由P0输出,段选由P1输出;继电器电路由一个继电器和一个用电器(灯泡)组成,通过电路与P3.0相连。当P3.0输出高电平时,继电器不吸合,灯亮。 各部件具体设计 1单片机最小系统的设计模块 硬件连接说明:本系统以AT89C51单片机为核心。单片机采用内部振荡的方式。通过200欧姆电阻与一个6位8段LED数码显示管相连。从P0口输出LED数码管的字形码,从P2口输出LED数码管的位选码,高电平有效。4个功能按键和P1口相连,中间通过10K的电阻与+5V电源相连,按键另一端接地,P1口低电平时表示按键被按下。报警电路则与P3.0口相连,当P3.0口输出高电平时,蜂鸣器响。 2晶振电路设计 由于单片机内部振荡方式电路简单,时钟信号比较稳定,是独立的单片机应用系统的首选,故本设计采用内部振荡方式,采用12MHZ的晶振。数字定时系统电路的晶振电路图如下图所示。

课程设计报告:数字式计时器电路555定时器

目录 目录 (1) 1.系统设计思路与总体方案 (2) 1.1 设计思路与流程图 (2) 2.Multisim软件的简介 (3) 2.1Multisim概貌及特点 (3) 3.555定时器,CD4518和CD4011介绍 (7) 3.1 555定时器 (7) 3.2 CD4518引脚功能 (11) 3.3 CD4011引脚图 (12) 4. 数字逻辑,振荡器,计数器和显示电路图 (14) 4.1数字逻辑模块 (14) 4.2振荡器模块 (14) 4.3 计数器模块 (19) 4.4 显示器模块 (20) 5. 电路的总体设计与调试 (20) 5.1 总体电路原理图 (20) 5.2总体电路工作原理 (21) 6.课程设计感受 (22) 6.1 课程设计中的收获和体会 (22) 7.附录与文献 (24)

7.1附录 (24) 7.2参考文献 (25) 1.系统设计思路与总体方案 1.1 设计思路与流程图 根据任务书可以知道本课题是一个2位数字显示计数器,是一个十进制计数器组合,本质上就是一计时器。通过一个时基电路产生一定频率脉冲,将脉冲信号输入低位的计数器输入端,通过一级级的进位,从而达到计数。从而完成此课题,我们可以将这整个计数系统,分为几个模块进行分析。 (1).数字逻辑控制模块。通过使用门电路来控制计时器进位及清零。 (2).脉冲信号产生模块。由一个振荡电路来产生一个固定频率的脉冲信号,作为计时器的时基信号。 (3).计时数计数模块。接收计时及中断信号脉冲,从而控制计数器计数,且有清零功能,该模块选用十进制计数器。 (2).译码显示模块。该模块要显示00到99的数字,选用十进制计数器的基础上,通过它们之间的级联,最终显示相应数字。 该数字式定时器,需要用到555定时器,由此产生振荡信号,在数字逻辑电路的控制下,由计数器计数,最后在数码管上显示出来,画为流程图如下:

数字显示定时器

数字电子技术课程设计 设计题目: 数 字 显 示 定 时 器 学院: 专业: 姓名: 班级: 学号: 指导老师:

目录 一、设计目的………………………………………2 二、设计内容 (2) 三、数字显示定时器的组成和基本工作原理……2 四、设计步骤与方法………………………………5 五、调试方法………………………………………9 六、问题分析………………………………………9 七、选用元器件 (10) 八、参考文献 (10) 九、心得体会 (10)

数字显示定时器 一、设计目的 1设计题目:数字显示定时器 2设计要求: ①分析数字显示定时器的工作原理,明确其中每个组件及元件的作用。 ②通过查阅有关资料,了解组件的逻辑功能、使用条件及引脚图,并将图中74LS90组件的连接图标注引脚号,将各与非门编号并标注引脚号以便连线和排除故障。 3 目的要求 ①结合运用所学知识,进一步提高逻辑电路的识图能力。 ②通过实验进一步了解并掌握完成数字电路系统实验的方法,培养调试技能和解决实际问题的能力。 ③进一步了解中规模集成组件的性能与应用。 二、设计内容 ①搭接秒信号发生器,用示波器B点波形的幅度及周期。 ②搭接并调试计数译码显示单元。 ③搭接控制单元,启动脉冲形成单元,由实验台的单脉冲代替。思考应该用正脉冲还是负脉冲? ④搭接蜂鸣器及发光管报警电路,并调试其功能。 ⑤搭接完整电路(连A,B,C,D,E各点)测试系统功能(注意:先测试组件功能,再连接单元电路;先调试好单元电路功能,再连接整体电路)。 三、数字显示定时器的组成和基本工作原理 数字显示定时器是一个在能实现定好的时间时发出信号的同时,显示出计时的具体情况的一种计时器。计时器在平时的应用是很广泛的。我现在设计的就是数现定时器的一种,其基本组成的整体框图如图所示。 它的工作原理是:按微动开关,计时开始,两位十进制显示所计时间,到达给定时间(60s)时计时停止,蜂鸣器及发光二极管发出报警信号。 1.秒信号发生器 在精度要求不高的情况下,可由555定时器组成的多谐震荡器提供频率为

三位数字显示计时定时器设计

课程设计报告 课程设计名称:三位数字显示计时定时器设计专业班级: 学生姓名: 学号: 同组人员: 指导教师: 课程设计时间: 2周

目录 1 设计任务、要求以及文献综述 (1) 2 原理叙述和设计方案 (1) 2.1 设计方案选择和论证 (1) 2.2 电路的功能框图及其说明 (1) 2.3 功能块及单元电路的设计、计算与说明 (2) 2.4 总体电路原理图 (4) 3 电路的仿真与调试 (4) 3.1 电路仿真 (4) 3.2 调试中出现的问题及解决方法 (5) 4 制作与调试 (6) 4.1元件清单、实物照片 (6) 4.2制作与调试过程中遇到的问题及解决办法 (7) 5心得体会 (7) 6 参考文献 (8) 附录 (9)

三位数字显示计时定时器设计 1 设计任务、要求以及文献综述 3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。设计要求如下: 1、计时功能。能任意启停,保持计时结果; 2、开机自动复位; 3、最大计时显示为9分59秒; 4、定时报警。 2 原理叙述和设计方案 2.1 设计方案选择和论证 方案一:设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路 方案二:实现一个三位数字显示的秒表系统,单片机,lcd1602显示屏,矩阵键盘等组成部分。秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后停止并且灯亮,等待重新复位计时。 论证:方案二比方案一好。 理由一:方案二显示的最终结果比较直观。 理由二:方案二可更改性好,方便日后的改进。 2.2 电路的功能框图及其说明 根据原理正确、易于实现、且实验室有条件实现的原则确定设计方案,画出总体设计功能框图,如图1所示。

数字定时器的设计实现分析

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致中所罗列的容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月日

航空航天大学 课程设计任务书

目录 1 总体设计方案............................................................................................ - 3 - 1.1 设计原理......................................................................................... - 3 - 1.2 设计思路......................................................................................... - 3 - 1.3 设计环境......................................................................................... - 3 - 2详细设计方案 ............................................................................................ - 3 - 2.1 总体模块设计 ................................................................................. - 3 - 2.2 计时电路与清零电路模块设计 ........................................................ - 3 - 2.3 定时电路模块设计 .......................................................................... - 3 - 2.4 报时电路模块设计 .......................................................................... - 3 - 3设计仿真.................................................................................................... - 3 - 3.1 清零操作仿真 ................................................................................. - 3 - 3.2 报时操作仿真 ................................................................................. - 3 - 参考文献 ...................................................................................................... - 3 - 附录.............................................................................................................. - 3 - 程序代码 ............................................................................................... - 3 - 总体电路图................................................................................................... - 3 -

555定时器是一种多功能的数字

555定时器是一种多功能的数字-模拟混合集成电路,利用它进行不同的组合可方便地构成施密特触发器,单稳态触发器和多谐振荡器。由于使用灵活,方便,所以555定时器在波形的产生与变换,测量与控制,家用电器在,电子玩具等许多领域中都得到了应用。 下图是555时基电路的内部原理图: 设V11是比较器C1的输入端,也称阈值端,V12是比较器C2的输入端,C1和C2的参考电压(电压比较的基准)设为VR1和VR2由VCC经三个电阻5K欧电阻分压给出,在控制电压输入端VCO悬空时,VR1=2/3VCC,VR2=1/3VCC,如果VCO外接固定电压,则VR1=VCO VR2=1/2VCO。 RST端是置零输入端,只要在该端加上低电平,输入端VO便立即被置成低电平,不受其他输入端的影响,正常工作时必须使之处于高电平。图中的数码1~8为器件引脚编号。 由图可知道,当V11大于VR1,V12大于VR2时,比较器C1的输出为0,

比较器C2输出VC2=1基本RS触发器被置0,TD导通,同时VO为低电平,这样我们得到了555功能表。 为了提高电路的带负载能力,还在输出端设置了缓冲器G4,如果将Vo'端经过电阻接到电源上,那么只要这个电阻的阻值足够大,V0为高电平时,Vo'也一定为高电平,反之亦然,555定时器能在很宽的电源电压范围内工作,并可承受较大的负载电流,可以设想,如果使VC1和VC2的低电平信号发生在输入电压信号的不同电平,那么输出与输入之间关系将为施密特触发特性,如果能使VC1和VC2的低电平信号交替反复出现,那么就可以得到多谐振荡器。 下面我们对由555时基电路通过不同的接法,组成各种应用电路作详细的介绍。

数字定时器设计精选文档

数字定时器设计精选文 档 TTMS system office room 【TTMS16H-TTMS2A-TTMS8Q8-

设计任务 设计一个数字定时器 设计要求 1,定时1-99分钟,开机上电并且默认10分钟。 2,显示时间自选,不一定使用数码管。 3,定时时间可调,调试方式为两种,一是步进,二是直接输入。 4,有负载,220V/A,要求开机上电的时候,负载关闭。 5,有相关的提示信息,一旦时间设定之后,会给出时间设定的提示信息。 6,定时时间到,关闭电晕啊,切断负载电源,关闭电源。 7,系统工作电源V in=+12V 设计基本理论 本设计将采用89C51单片机,89C51单片机是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—FalshProgrammableandErasableReadOnlyMemory)的低电压,高性能CMOS8位微处理器,俗称单片机。单片机自带5个中断,两个16位定时器32个I/O 口,可擦除只读存储器可以反复擦除多次,功能相当强大。该器件采用ATMEL 高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的89C51是一种高效微控制器。89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 主体模块设计 数字定时器系统的基本组成如下图所示

数字定时系统电路的原理框图根据设计任务与要求,可初步将系统分为五大功能模块:主电路、按键电路、显示电路、继电器电路。进一步细说,主电路选用AT89C51作为中央处理器,系统采用12MHZ的晶振;按键控制电路由两个个按键(启动键(start)、时间设定键 (set))组成,采用三个独立开关,按键按下产生一个脉冲信号;显示电路由二位8段共阴极数码管和一个9位100欧姆上拉排阻组成,位选,个位和十位由P0输出,段选由P1输出;继电器电路由一个继电器和一个用电器(灯泡)组成,通过电路与相连。当输出高电平时,继电器不吸合,灯亮。 各部件具体设计 1单片机最小系统的设计模块? 硬件连接说明:本系统以AT89C51单片机为核心。单片机采用内部振荡的方式。通过200欧姆电阻与一个6位8段LED数码显示管相连。从P0口输出LED数码管的字形码,从P2口输出LED数码管的位选码,高电平有效。4个功能按键和P1口相连,中间通过10K的电阻与+5V电源相连,按键另一端接地,P1口低电平时表示按键被按下。报警电路则与口相连,当口输出高电平时,蜂鸣器响。 2晶振电路设计? 由于单片机内部振荡方式电路简单,时钟信号比较稳定,是独立的单片机应用系统的首选,故本设计采用内部振荡方式,采用12MHZ的晶振。数字定时系统电路的晶振电路图如下图所示。 3定时中断?

数字逻辑课程设计心得(定时器)【模版】

一.内容摘要: 定时器的设计: 设计一个0~60分钟之内的定时器,定时开始的时候红指示灯亮,结束的时候绿指示亮,可以随意以分钟为单位,在六十分钟的范围内设定定时时间,随着定时的开始,显示器开始显示时间,即依次显示出0,1,2,3,4….直到定时结束,当定时结束的时候进行手动清零。首先设计一个秒脉冲发生器,一个计数电路,一个比较电路,然后对电路进行输出。当开始定时之前手动对要定时的时间进行预置数,然后运用秒脉冲发生器输入脉冲,用计数器对脉冲的个数进行计数,把编码器的数据与脉冲的个数通过数值比较器进行比较,最后按照要求进行红绿等输出表示定时的状态是正在进行定时,或者是已经定时结束,在定时的过程中显示定时的时间。 二.方案的论证与选择: 方案1 例如设计一个六十分钟的定时器,就需要六十进制的分钟计数器。设计秒脉冲发生器,当计数器完成六十分钟的记数时,就手动清零。需要设定其他的时间时, 只需将计数器的进制改变一下就行。这个方案只适用于特定的定时器,设定的时间 不变。如果本课设用此方案,就需要设计从1——60进制的计数器,工程量太大。 方案2, 设计一个定时器,可以在0~60分之间一分钟为单位任意可调,定时开始的时候红灯亮,定时结束的时候绿灯亮,定时结束之后手动清零,满足设计的要求,故本次课程设计中采用的是这种设计方案。

三.总设计思想框图: 总体的完整电路图: 就是将各个单元电路用导线连接起来,然后进行仿真处理,开始进行定时的时候红指示灯亮。图中所示的是定时为16分钟的定时仿真结果,完整的电路图。

2.5 V 图2 四.单元电路的设计与参数的计算 1.秒脉冲发生器的选择: (1)采用石英晶体的多谐振荡器,在RC环形振荡器电路中,接入RC可以获得较小 的频率,而且通过RC的调节可以调节频率,用于对频率稳定性要求比较高的电路,

用单片机内部定时器实现的数字钟智能测控仪器设计

用单片机内部定时器实现的数字钟智能测控仪器设计

“智能测控仪器设计”课程 项目设计总结报告 项目名称:用单片机内部定时器实现的数字钟指导老师:

学号: 专业年级: 完成时间: 2013 年 7 月

用单片机内部定时器实现的数字钟 摘要:近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集,军工产品以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。本文介绍了基于单片机AT89C51的数字钟的设计,详细讨论了它从软件上实现的过程,重点在时钟调整的方式:查询和中断的比较,然后,对数字钟的稳定性和精确性作了相关的讨论。在文章的最后,给出了采用中断方式实现的数字钟的源程序。本次设计通过对一个时钟显示、手动校时等功能的时间系统的设计,其中结合了LCD1602显示、单片机定时中断等技术。关键词:单片机的应用,AT89C51,LCD

Abstrct:In recent years along with computer penetration in the social sphere and the large scale integrated circuit development, MCU applications are constantly deepening, because it has strong function, small volume, low power consumption, low price, reliable work, so that the use is convenient wait for a characteristic, thus it is especially suitable for and control of relevant system, more and more widely and its application in automatic control, intelligent instruments, instrumentation, data acquisition, military products and household appliances and other fields, the microcontroller is often used as a core component to use, in accordance with the specific hardware structure, and the specific characteristics of the target application software node, to make perfect. This article introduced based on the single-chip digital clock design, discussed in detail from the software implementation process, heavy in the clock adjustment way: queries and disruption of comparison, and then, on the digital clock made

3位数字显示计时定时器

3位数字显示计时定时器 1 3位数字显示计时定时器概述 3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。而本课题中设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路。 该数字系统具有计时功能。能随时控制计时器的启动和停止,保持计时显示结果。还具备开机自动复零功能。它的最大显示时间为9分59秒,计时和定时时间都是精确到秒。 2 3位数字显示计时定时器系统设计 2.1系统框图 由技术指标要求可知,该数字系统的功能主要是实现可控计时和定时报警。为此,可将系统分解为下列几个部分组成: (1)秒脉冲时间标准产生电路。由振荡器产生固定频率的矩形脉冲经分频器获得秒脉冲,提供计时和定时的时间标准(时标信号)。 (2)计数器、译码器和显示器。由于最大计时器容量为9分59秒,因此,需要3位计数器。最低位为秒个位,次低位为秒十位,最高位为分位。秒个位对输入的秒脉冲进行计数,其进位信号送至秒十位计数,最后送给分位计数,并通过译码器和显示器显示出所计的时间。 (3)开机自动清零电路。提供开机清零信号,使电路的初态为0态。 (4)计时启停控制电路。提供控制振荡信号能否进入分频器的控制信号。

图一计时定时器总体方框图 2.2单元电路原理分析 2.2.1 秒脉冲时标信号产生电路 选用由CMOS集成门组成的RC振荡电路,以产生固定频率的矩形脉冲信号,经分频器分频后输出为1Hz的秒脉冲时标信号。电路如图二所示。 振荡电路生成的脉冲对动态扫描显示电路影响不大,这里选用门电路构成的多谐振荡器输出的脉冲作用为节拍发生器的时钟。如图三所示是由4096构成的方波自激振荡器电路。R t是振荡电阻,C t是振荡电容,R s是补充电阻。 设非门的阈值电压是U TH。设在t=0,U il=0小于U TH,G1门关闭,U01为高电平V DD,G2门开通,U0跃为低电平。这时,U01的高电平经R t会对电容C t充电,随着充电过程的继续,A点电压按指数上升,同时U il也会随着指数上升。当U il大于阈值U TH时,G1门开通U01跃为低电平,U0跃为高电平V DD,C t开始放电,随后因为U01跃为高电平又开始反向放电,这时U il又以指数规律下降,当小于阈值电压U TH时,U01又变为高电平V DD。如此反复就可输出矩形震荡波。振荡频率为 f=1/2.2R t C t 所以图三的振荡电路输出的频率约为80.59Hz。

基于89C51定时器零数字时钟C程序

//*****新手学习之作,没有考虑精确问题********// //*****数码管段码为P0口,位码为P3口**********// #include #define uint unsigned int #define uchar unsigned char sbit menu=P1^0;//功能键设置 sbit plus=P1^1;//加1键设置 sbit minus=P1^2;//减1键设置 sbit speaker=P2^1;//蜂鸣器端口设置 uint miao,fen,shi,miao1,miao2,fen1,fen2,shi1,shi2,num1,jishi; uchar code table[]={//数码管字库定义 0xc0,0xf9,0xa4,0xb0, 0x99,0x92,0x82,0xf8, 0x80,0x90,0x88,0x83, 0xc6,0xa1,0x86,0x8e}; void delayms(uint x)//延时函数,11.0292晶振下为1ms { uint i,j; for(i=x;i>0;i--)

for(j=110;j>0;j--); } void keyscan()//键盘扫描函数 { if(menu==0)//检测功能键是否按下 { delayms(5);//按键去抖动 if(menu==0)//检测功能键是否按下 { speaker=!speaker;//提示音 delayms(5); while(!menu);//等待按键被松开 num1++; //功能键计数 } } if(num1==1)//按一下功能键,调节秒 { TR0=0;//关闭定时器 if(plus==0)//检测加1键是否按下 { delayms(5);//按键去抖动

相关主题
相关文档
最新文档