电子技术综合设计报告数字钟设计

合集下载

电子技术综合设计报告数字钟设计

电子技术综合设计报告数字钟设计

电子技术综合设计报告数字钟设计目录1 数字钟的基本组成及工作原理 (1)1.1数字钟的构成 (1)1.2数字钟的工作原理 (1)2 数字钟的设计与制作 (3)2.1系统方案选择与论证 (3)2.2设计步骤与方法 (3)2.2.1 NE555脉冲电路产生 (3)2.2.2计数器电路 (5)2.2.3译码显示电路 (8)2.2.4校时电路 (11)2.3数字钟仿真 (12)2.3.1数字钟电路原理图(见附录4) (12)2.3.2系统整体仿真图(见附录6) (12)2.3.3 PCB板图(见附录2) (12)3 数字钟的扩展功能 (13)3.1定点报时 (13)3.2 12归1 (14)4 数字钟的焊接及注意事项 (16)4.1焊接元件清单(见附录3) (16)4.2实际焊接的印刷电路板元件分布图(见附录5) (16)4.3焊接注意事项 (16)5 系统软、硬件调试 (18)5.1系统软件调试过程中遇到的问题以及排查经过 (18)5.2系统硬件调试过程中遇到的问题以及排查经过 (18)6 总结及体会 (19)7参考文献 (20)附录 (21)附录1: (21)附录2: (22)附录3: (23)附录4: (24)附录5: (25)附录6: (26)1 数字钟的基本组成及工作原理1.1数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,因而需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定,通常使用石英晶体振荡器电路构成数字钟。

此外,加入了一定的扩展功能,实现了定时报时。

图1-1为数字钟的一般结构框图。

图1-1数字钟组成框图1.2数字钟的工作原理一个具有计时、显示灯基本功能的数字钟主要由振荡器、计数器、译码器、显示器等四部分组成。

多谐振荡器产生的信号输入到秒脉冲,秒脉冲送入计数器计数,技术结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。

电子技术课程设计报告---多功能数字时钟

电子技术课程设计报告---多功能数字时钟

电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。

2.可以24小时制或12小时制。

3.具有校时功能。

可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。

校时时钟源可以手动输入或借用电路中的时钟。

4.整点能自动报时,要求报时声响四低一高,最后一响为整点。

5.走时精度高于普通机械时钟〔误差不超过1s/d〕。

二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。

秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。

“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。

其原理框图如图1所示。

2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。

数字钟的精度,主要取决于时间标准信号的频率及稳定度。

振荡器的频率越高,计时的精度就越高,但耗电量将增大。

一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。

〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。

分频器的级数和每级的分频次数要根据时基频率来定。

例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。

也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。

〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。

“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。

“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。

4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。

工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现24小时的累计。

LED数码管将“时、分、秒”计数器的输出状态显示。

校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。

电子工艺实习报告数字钟

电子工艺实习报告数字钟

一、实习背景随着科技的不断发展,电子工艺技术在我国得到了广泛应用。

为了提高自身的实践能力,了解电子工艺的基本原理和应用,我参加了为期一个月的电子工艺实习。

本次实习的主要内容是设计和制作一个数字钟。

二、实习目的1. 熟悉电子工艺的基本原理和操作流程;2. 掌握数字钟的设计和制作方法;3. 提高动手能力和团队合作精神;4. 培养严谨、细致的工作态度。

三、实习内容1. 数字钟的原理及设计数字钟是一种利用电子电路实现计时功能的装置。

它主要由时钟电路、显示电路和电源电路组成。

时钟电路负责产生稳定的脉冲信号,显示电路用于显示时间,电源电路为整个装置提供电能。

(1)时钟电路:采用555定时器产生1Hz的脉冲信号,经过分频电路得到1秒的脉冲信号。

(2)显示电路:采用数码管显示时间,数码管有8个引脚,分别对应8段,通过控制这些引脚的高低电平,可以实现数字的显示。

(3)电源电路:采用稳压电路为整个装置提供稳定的5V电压。

2. 数字钟的制作(1)准备材料:555定时器、电阻、电容、数码管、面包板、导线等。

(2)制作步骤:①按照电路图连接555定时器、电阻、电容等元件;②将数码管插入面包板,连接好数码管的8个引脚;③将电路板插入面包板,连接好各个元件;④调试电路,观察数码管显示的时间是否准确;⑤根据实际情况调整电路参数,确保时间显示准确。

3. 数字钟的调试与改进(1)调试:首先检查电路连接是否正确,然后观察数码管显示的时间是否准确。

若不准确,检查电路参数,进行调整。

(2)改进:为了提高数字钟的显示效果,可以增加以下功能:①设置闹钟功能,在指定时间发出声音提醒;②增加时间调整功能,方便用户调整时间;③优化电路设计,提高稳定性。

四、实习总结通过本次电子工艺实习,我掌握了数字钟的设计和制作方法,提高了自己的动手能力和团队合作精神。

以下是实习过程中的几点体会:1. 理论与实践相结合:在实习过程中,我深刻体会到理论与实践相结合的重要性。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

数字电子技术课程设计报告报告——电子钟设计

数字电子技术课程设计报告报告——电子钟设计

数字电子技术课程设计报告课题:数字钟的设计与制作学年:专业:班级:姓名:数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计内容及要求〔1〕设计指标①由晶振电路产生1HZ标准秒信号;②分、秒为00~59六十进制计数器;③时为00~23二十四进制计数器;④周显示从1~日为七进制计数器;⑤具有校时功能,可以分别对时及分进展单独校时,使其校正到标准时间;⑥整点具有报时功能,当时间到达整点前鸣叫五次低音〔500HZ〕,整点时再鸣叫一次高音〔1000HZ〕。

〔2〕设计要求①画出电路原理图〔或仿真电路图〕;②元器件及参数选择;③电路仿真与调试。

〔3〕制作要求自行装配和调试,并能发现问题和解决问题。

〔4〕编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图数字钟实际上是一个对标准频率〔1HZ〕进展计数的计数电路。

由于计数的起始时间不可能与标准时间〔如时间〕一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

数字电子钟的总体图如图〔1〕所示。

由图〔1〕可见,数字电子钟由以下几局部组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器及七十进制日计数器;以及秒、分、时的译码显示局部等。

四、主要局部的实现方案1 秒脉冲电路由晶振32768Hz经CD4060分频为2Hz,再经过74LS74一次分频,即得1Hz 标准秒脉冲,提供应时钟计数脉冲。

数字钟的设计报告完整版

数字钟的设计报告完整版

电子技术课程设计(数电部分)——简易数字钟专业____________姓名____________班级____________学号____________二〇一三年四月基于EDA的简易数字钟设计第一章设计背景与要求 (1)一.设计背景 (1)二.设计要求 (1)第二章系统概述 (1)2.1设计思想与方案选择 (1)2.2各功能块的组成 (2)第三章单元电路设计与分析 (2)3.1各单元电路的选择 (2)3.2基本计时电路子模块的设计及工作原理分析 (3)(1)脉冲发生电路(分频模块) (3)(2)60进制计数器模块 (5)(3)24进制计数器模块 (6)(4)两片60进制计数器和一片24进制计数器联级构成24小时电路 (8)3.3外围子模块电路的设计及工作原理分析 (9)(1)4位显示译码模块 (9)(2)整点报时电路原理及模块设计 (12)(3)校正开关及脉冲按键消抖动处理模块 (14)(4)12小时制与24小时制的切换电路 (15)第四章电路的调试过程 (19)4.1遇到的主要问题 (19)4.2现象原因分析及解决措施及效果 (19)4.3功能的测试方法、步骤,记录的数据 (20)第五章结束语 (20)5.1对设计题目的结论性意见及进一步改进的意向说明 (20)5.2总结设计的收获与体会 (21)参考文献: (21)第一章设计背景与要求一.设计背景在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。

二.设计要求设计一个简易数字钟,具有整点报时和校时功能。

(1)以四位LED数码管显示时、分,时为二十四进制。

(2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。

多功能数字电子钟设计报告

多功能数字电子钟设计报告

多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。

这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。

这个钟被设计成简单易用,具有时尚外观和实用性。

硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。

时钟控制部分还包括时钟校准和闹钟设置。

2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。

屏幕控制部分可以显示多种信息,具有时尚的外观和设计。

3. 传感器控制:使用DHT11温湿度传感器监测室内环境。

传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。

功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。

2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。

3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。

4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。

闹钟响铃时可以选择静音或自动关闭。

5. 操作简便:采用方便简单的按键操作设计,方便用户使用。

总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

电子技术综合设计报告数字钟设计

电子技术综合设计报告数字钟设计

设计专题:电子技术综合设计设计题目:多功能数字钟设计内容和要求:1. 主要内容:①用CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0的计数电路②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显示电路(数码管需加限流电阻)③用555设计CP脉冲源(f=1KH)④具有系统校准功能2. 整体电路原理图60秒、60分、24小时---- 计数、译码、显示电路(用8K白纸手工画图)3. EWB仿真图60秒、60分、24小时---- 计数、译码、显示电路(计算机打印)4. 设计原理图用PROTEL99设计原理图(计算机打印)5. 设计PCB版图用PROTEL99设计PCB板图(计算机打印)6. 功能扩展要求设计:①定点报时功能②12小时归1计数电路指导教师签字:年月日摘要:多功能数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

此次课程设计的数字钟由下几部分组成:石英晶体振荡器、分频器、秒脉冲发生器、校正电路、60进制的秒、分计时器和24进制计时计数器以及秒、分、时的译码显示部分等。

此次设计与制作数字电子钟的目的是让学生在了解数字钟的原理的前提下,运用刚刚学过的数电知识设计并制作数字钟,而且通过数字钟的制作进一步了解各种在制作中用到的中小规模集成电路的作用及其使用方法。

由于数字电子钟包括组合逻辑电路和时序电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,从而实现理论与实践相结合。

电子技术数字时钟报告电路原理图

电子技术数字时钟报告电路原理图

电子技术课程设计报告设计题目:数字电子时钟班级:学生姓名:学号:指导老师:完成时间:一.设计题目:数字电子时钟二.设计目的:1.熟悉集成电路的引脚安排和各芯片的逻辑功能及使用方法;2.了解数字电子钟的组成及工作原理 ;3.熟悉数字电子钟的设计与制作;三、设计任务及要求用常用的数字芯片设计一个数字电子钟,具体要求如下:1、以24小时为一个计时周期;2、具有“时”、“分”、“秒”数字显示;3、数码管显示电路;4、具有校时功能;5、整点前10秒,数字钟会自动报时,以示提醒;6、用PROTEUS画出电路原理图并仿真验证;四、设计步骤:电路图可分解为:1.脉冲产生电路;2.计时电路;3.显示电路;4校时电路;5整点报时电路;1.脉冲电路是由一个555定时器构成的一秒脉冲,即频率为1HZ;电路图如下:2.计时电路即是计数电路,通过计数器集成芯片如:74LS192 、74LS161、74LS163等完成对秒脉冲的计数,考虑到计数的进制,本设计采用的是74LS192;秒钟个位计到9进10时,秒钟个位回0,秒钟十位进1,秒钟计到59,进60时,秒钟回00,分钟进1;分钟个位计到9进10时,分钟个位回0,分钟十位进1,分钟计到59,进60时,分钟回00,时钟进1;时钟个位记到9进10时,时钟个位回0,时钟十位进1,当时钟计数到23进24时,时钟回00.电路图如下:3.显示电路是完成各个计数器的计数结果的显示,由显示译码器和数码管组成,译码器选用的是4511七段显示译码器,LED数码管选用的是共阴极七段数码管,数码管要加限流电阻,本设计采用的是400欧姆的电阻;电路图如下:4.校时电路通过RS触发器及与非门和与门对时和分进行校准,电路图如下:5.整点报时电路即在时间出现整点的前几秒,数值时钟会自动提醒,本设计采用连续蜂鸣声;根据要求,电路应在整点前10秒开始整点报时,也就是每个小时的59分50秒开始报时,元器件有两个三输入一输出的与门,一个两输入一输出的与门,发生器件选择蜂鸣器;具体电路图如下:六.设计用到的元器件有:与非门74LS00,与门74LS08,74LS11,7段共阴极数码管,计数器芯片74LS192,555定时器,4511译码器,电阻,电容,二极管在电路开始工作时,对计数电路进行清零时会使用到,单刀双掷开关;设计电路图如报告夹纸;七.仿真测试:1.电路计时仿真电路开始计数时:计数从1秒到10秒的进位,从59秒到一分钟的进位,从1分到10分的进位,从59分到一小时的进位,从1小时到10小时的进位,从23小时到24小时的进位,然后重新开始由此循环,便完成了24小时循环计时功能,仿真结果如下:1. 7.2.8.3. 9.4. 10.5. 11.6. 12.13.2.电路报时仿真由电路图可知,U18:A和U18:B的6个输入引脚都为高电平时,蜂鸣器才会通电并发声,当计数器计数到59分50秒是,要求开始报时,而59分59秒时,还在报时,也就是说只需要检测分钟数和秒计数的十位,5的BCD码是4和1,9的BCD码是8和1,一共需要6个测端口,也就是上述的6个输入端口,开始报时时,报时电路状态如图:3.校时电路仿真正常计时校时U15:D和u15:C是一个选通电路,12角接的是秒的进位信号,9角接的是秒的脉冲信号,当SW1接到下引脚时,U15:D接通,u15:C关闭,进位信号通过,计数器的分技术正常计时;当SW1接到上引脚时,U15:D关闭,u15:C接通,校时的秒脉冲通过,便实现了分钟校时,时钟的校时与分钟校时大致相同;八.心得体会以及故障解决设计过程中遇到了一个问题,就是在校时电路开始工作时,校时的选择电路会给分钟和时钟的个位一个进位信号,也就是仿真开始时电路的分钟和时钟个位会有一个1;为了解决这个问题,我采用的是在电路开始工作时,同时给分钟和时钟的个位一个高电平的清零信号来解决,由于时钟的个位和十位的清零端是连在一起的,再加上分钟的个位,在校时小时的时候且当小时跳完24小时时,会给分钟的个位一个清零信号,这时在电路中加一个单向导通的二极管变解决了,具体加在那儿,请参考电路图;在设计过称中,我们也许遇到的问题不止一个两个,而我们要做的是通过努力去解决它;首先我们要具备丰富的基础知识,这是要在学习和实际生活中积累而成的;其次,我们还有身边的朋友同学老师可以请教,俗话说:三人行,必有我师;最后,我们还有网络,当今是个信息时代,网络承载信息的传递,而且信息量非常大,所以我们也可以适当的利用网络资源;通过这次对数字钟的设计与制作,让我了解了设计电路的步骤,也让我了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真,仿真成功之后才实际接线;但是仿真是在一个比较好的状态下工作,而电路在实际工作中需要考虑到一些驱动和限流电阻等等,因为,再实际接线中有着各种各样的条件制约和干扰;而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功;所以,在设计时应考虑两者的差异,从中找出最适合的设计方法;这次学习让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解,才能在实际生活和工作中应用起来;。

数字电子钟设计报告

数字电子钟设计报告

数字电子钟设计报告
本报告将介绍数字电子钟的设计,包括系统架构、硬件设计和软件设计。

1. 系统架构
数字电子钟的系统架构分为两部分:信息输入和显示输出。

信息输入包括时间信息和闹钟设置信息,可以通过按钮进行设置。

显示输出部分包括LED数字显示屏、音响和闹钟提示灯。

2. 硬件设计
数字电子钟的硬件设计包括微控制器、时钟模块、数码管驱动器、按钮和声音电路。

微控制器采用ATmega32芯片,具有良好的性能和良好的可靠性。

时钟模块采用DS1302实时时钟芯片,可以提供准确的时
间信息。

数码管驱动器采用常用的MAX7219芯片,非常方便,可以控制8位数码管。

按钮用于输入时间信息和闹钟设置信息。

声音电路包括一个蜂鸣器和一个三极管,可以产生响亮的闹钟声。

3. 软件设计
数字电子钟的软件设计包括时钟模块、数码管显示模块、按钮扫描模块和闹钟模块。

时钟模块负责读取DS1302芯片提供的时间信息,并将其存储在ATmega32芯片中。

数码管显示模块负责将存储在ATmega32芯片中的时间信息通过MAX7219芯片发送给8位数码管进行显示。

按钮扫描模块负责扫描按钮输入信息,并将其存储在ATmega32芯片中。

闹钟模块负责读取ATmega32芯片中的闹钟设置信息,并在设定的时间点触发闹钟提示灯和蜂鸣器发出响亮的闹钟声。

4. 总结
数字电子钟的设计包括系统架构、硬件设计和软件设计。

该设计可以提供准确的时间信息和实用的闹钟功能。

它可以广泛应用于家庭、办公室和学校等领域。

数字电子钟设计报告(显示、调整、报时、万年历、闹钟、秒表)

数字电子钟设计报告(显示、调整、报时、万年历、闹钟、秒表)

目录一、引言 (2)二、方案论证选择 (3)2.1设计要求 (3)1.基本要求 (3)2.发挥部分 (3)2.2系统框图 (3)分钟+调整 (3)秒钟 (3)时钟+调整 (3)秒表 (3)闹钟功能 (3)定时报闹 (3)万年历功能 (3)三、电路仿真与设计 (4)3.1核心芯片及芯片管脚图 (4)3.2时、分计数电路模块设计 (4)3.3切换电路模块设计 (5)3.4调整电路模块设计 (6)(1)方案一:利用74125的三态。

(6)(2)方案二:利用74162的置数端(LOAD),置数调整。

(7)3.5整点报时电路模块设计 (8)3.6秒表电路模块设计 (9)3.6定时报闹电路模块设计 (11)3.7万年历电路模块设计 (12)四、遇到的问题.......................................................................... 错误!未定义书签。

五、心得体会.............................................................................. 错误!未定义书签。

一、引言电子钟亦称数显钟(数字显示钟),是一种用数字电路技术实现时、分、秒计时的装置,与机械时钟相比,直观性为其主要显著特点,且因非机械驱动,具有更长的使用寿命,相较石英钟的石英机芯驱动,更具准确性。

电子钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、剧院、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大地方便。

相对于其他时钟类型,它的特点可归结为“两强一弱”:比机械钟强在观时显著,比石英钟强在走时准确,但是它的弱点为显时较为单调。

数字钟的核心即数字电子技术课程中有关时序逻辑电路、组合逻辑电路的内容。

这些也是我们学电子的学生应该掌握的最基本知识。

通过这次试验,不仅可以加深我对数字电子技术课程的理解,也可以提高自己的动手能力以及实际问题中解决问题的能力,培养对数字电子技术的兴趣。

电子课程设计报告数字钟

电子课程设计报告数字钟

电子课程设计——数字钟学院:华科学院专业班级:自动化112202H姓名:嵇贝贝学号:201122060209指导老师:任青莲2013年12月目录一、设计任务与要求 (2)二、原理框图 (2)三、器件选择 (4)四、功能模块 (8)五、总体设计电路图 (14)六、结论与心得 (15)一、设计任务与要求。

1.设计一数字钟显示时、分、秒。

2.小时采用12小时计时法。

3.具有报时功能,当时间到达整点前10秒进行蜂鸣报时。

4.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。

二、总体框图1、数字频率计的基本原理:数字钟是一种用数字电路技术实现时、分、秒计时的钟表。

与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。

数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。

数字钟的基本功能是显示时间,可以通过计数器的联级实现。

以4位数码管的数字钟为例,数字钟设定前两位为小时,后两位为分钟,数码管的小数点闪烁可以表示秒。

首先产生一个1Hz的方波信号,在它的触发下驱动小数点闪烁。

在这个1Hz的基础上,可以产生1/60Hz的信号(对1Hz信号计数,每计数30次就将输出反相,得到1/60Hz信号),它就是分钟信号需要的时钟。

在这个时钟的触发下分钟位数码管管依次加1,直到60时变为0,再重新开始计数。

清零的时候要产生一个进位,加到小时上面。

其他时间小时位保持不变。

2、数字钟的工作原理:数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

数字电子技术课程设计报告数字钟的设计

数字电子技术课程设计报告数字钟的设计

数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法. 且由于数字钟包括组合逻辑电路和时叙电路. 通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计要求(1)设计指标①时间以12 小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10 秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真与调试;④PCB 文件生成与打印输出。

(3)制作要求自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图1 .数字钟的构成数字钟实际上是一个对标准频率(1HZ进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768H z的方波信号,可保证数字钟的走时准确及稳定。

不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。

数字电子钟的设计报告

数字电子钟的设计报告

数字电子钟的设计报告设计报告:数字电子钟1.引言:数字电子钟是一种数字显示时间的钟表。

它采用数字显示技术,以数字方式传达时间信息,相比于传统的机械钟表,数字电子钟更加准确、方便,并且可以提供更多附加功能。

本设计报告将介绍数字电子钟的设计方案。

2.设计目标:设计数字电子钟的目标是能够准确地显示时间,并具备以下功能:(1) 显示当前时间,包括小时、分钟、秒钟,并提供24小时制和12小时制的选择;(2) 提供闹钟功能,用户可以设定闹钟时间,并在到达指定时间时提醒用户;(3) 提供定时器功能,用户可以设定定时器时间,并在倒计时结束时提醒用户;(4) 显示日期和星期;(5) 提供时间调整功能,用户可以进行时间调整。

3.设计方案:(1) 显示模块:采用数码管或者液晶显示屏作为显示模块,通过驱动电路将数字信号转换为对应的数字显示;(2) 时钟芯片:使用时钟芯片来提供准确的时间数据,并通过串行通信接口与主控芯片进行通信;(3) 主控芯片:采用单片机或者微处理器作为主控芯片,负责接收和处理用户的输入,并控制显示模块的显示;(4) 按键模块:用户可以通过按键模块来进行时间设定、闹钟设定等操作,并通过主控芯片进行处理;(5) 蜂鸣器:用于提醒用户设定的闹钟时间或定时器时间到达。

4.功能实现:(1) 时间显示功能:主控芯片从时钟芯片获取时间数据,并将数据转换为数码管或者液晶显示屏上的数字显示;(2) 闹钟功能:用户可以通过按键模块设定闹钟时间,主控芯片与时钟芯片进行比较,当到达设定时间时,蜂鸣器会发出提醒声音;(3) 定时器功能:用户可以通过按键模块设定定时器时间,主控芯片进行倒计时,并在倒计时结束时发出提醒声音;(4) 日期和星期显示:主控芯片从时钟芯片获取日期和星期数据,并将数据转换为数码管或者液晶显示屏上的文字显示;(5) 时间调整功能:用户可以通过按键模块进行时间调整,主控芯片与时钟芯片进行通信,更新时间数据。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

设计专题:电子技术综合设计设计题目:多功能数字钟设计内容和要求:1. 主要内容:①用CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0的计数电路②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显示电路(数码管需加限流电阻)③用555设计CP脉冲源(f=1KH)④具有系统校准功能2. 整体电路原理图60秒、60分、24小时---- 计数、译码、显示电路(用8K白纸手工画图)3. EWB仿真图60秒、60分、24小时---- 计数、译码、显示电路(计算机打印)4. 设计原理图用PROTEL99设计原理图(计算机打印)5. 设计PCB版图用PROTEL99设计PCB板图(计算机打印)6. 功能扩展要求设计:①定点报时功能②12小时归1计数电路指导教师签字:年月日摘要本次实验的主要内容是设计多功能的数字钟。

数字钟是一种用数字电路技术实现时、分、秒计时的装置,相比之下具有更高的准确性和主观性,该数字钟具有基本功能和扩展功能两部分。

其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能;扩展功能部分则具有:待机、整点报时、日期显示、闹钟、秒表。

通过数码管显示秒、分、时、日、月、年,可以灵活的设置时间,增加按钮模块通过按键扫描可以对当前的时间随意设置,为具有更高的实用价值。

由于该系统具有时间显示、闹钟、秒表等完整功能,有很高的利用价值。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。

它是由数子钟电路、定时电路、放大执行电路、电源电路组成。

为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。

具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。

关键词:数字钟;555芯片;计数器目录1 数字钟的基本组成及工作原理 (1)1.1数字钟的构成 (1)1.2数字钟的工作原理 (1)2 数字钟的设计与制作 (3)2.1系统方案选择与论证 (3)2.2设计步骤与方法 (3)2.2.1 NE555脉冲电路产生 (3)2.2.2计数器电路 (5)2.2.3译码显示电路 (7)2.2.4校时电路 (10)2.3数字钟仿真 (11)2.3.1数字钟电路原理图(见附录4) (11)2.3.2系统整体仿真图(见附录6) (11)2.3.3 PCB板图(见附录2) (11)3 数字钟的扩展功能 (12)3.1定点报时 (12)3.2 12归1 (13)4 数字钟的焊接及注意事项 (15)4.1焊接元件清单(见附录3) (15)4.2实际焊接的印刷电路板元件分布图(见附录5) (15)4.3焊接注意事项 (15)5 系统软、硬件调试 (17)5.1系统软件调试过程中遇到的问题以及排查经过 (17)5.2系统硬件调试过程中遇到的问题以及排查经过 (17)6 总结及体会 (18)7参考文献 (19)附录 (20)附录1: (20)附录2 (21)附录3 (22)附录4 (23)附录5 (24)附录6 (25)1 数字钟的基本组成及工作原理1.1数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,因而需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定,通常使用石英晶体振荡器电路构成数字钟。

此外,加入了一定的扩展功能,实现了定时报时。

图1-1为数字钟的一般结构框图。

图1-1数字钟组成框图1.2数字钟的工作原理一个具有计时、显示灯基本功能的数字钟主要由振荡器、计数器、译码器、显示器等四部分组成。

多谐振荡器产生的信号输入到秒脉冲,秒脉冲送入计数器计数,技术结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。

数字钟原理图如图1-2所示。

图1-2数字钟原理图(1)秒脉冲信号发生器利用NE555多谐振荡器通过调整参数,完成了f=1Hz的秒脉冲信号的产生。

(2)时间计数器电路时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成。

其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,时个位和时十位计数器为24进制计数器。

结合CC4518芯片实现计数清零的功能。

(3)译码驱动及显示单元计数器实现了对时间的累计以8421BCD码显示出来,需用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,一般这种译码器通常称为7段译码器显示驱动器。

常用的7段译码显示驱动器有CC4511。

(4)校时电源电路当重新接通电源或时钟出现误差时都需要对时间进行校正。

通常,校正时间的方法是:首先截断正常的计数电路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。

(5)定点报时电路一般时钟都具备着定点报时的电路功能,即当时钟走到所设定的时间时,会自动报时。

2 数字钟的设计与制作2.1系统方案选择与论证(1)脉冲电路选择与论证方案一:采用RC振荡电路,在通过整形电路产生所需的秒脉冲信号;方案二:使用计时IC芯片NE55,搭建多谐振荡器产生所需的秒脉冲信号。

由于RC振荡电路不稳定,且不能精确产生所需秒脉冲,而NE55只需简单的电阻器、电容器就可以搭建出所需电路,而且它的计时精确度高,温度稳定度佳,且价格便宜,所以我们采用方案二。

(2)计数器电路选择与论证方案一:采用74LS290十进制异步清零、异步置位芯片;方案二:采用CC4518十进制异步清零芯片。

由于CC4518是双集成BCD计数,使用它既节约成本又使线路简单,所以我采用CC4518设计计数电路。

(3)译码显示电路选择与论证方案一:采用74LS48四线七段译码器/驱动器;方案二:采用CC4511四线七段锁存译码器/驱动器。

由于CC4511是COMS芯片具有低功耗,电压范围宽等优点,所以采用方案二。

2.2设计步骤与方法2.2.1 NE555脉冲电路产生(1)555构成多谐振荡器(f=1HZ)图2-1 555芯片引脚图表2-1 引出端功能符号说明(2)555构成多谐振荡器电路电路图多谐振荡器工作原理:VCC通过R1、R2向C充电,在电容充电VC:0V-VCC/3之间,Vo输出1;VCC通过R1、R2继续向C充电,在电容充电VC:VCC/3-2VCC/3之间,Vo保持1不变;当VC=2VCC/3时,Vo由1翻转为0。

T 导通,电容C经R2、T放电;电容通过R2和三极管T继续放电,在电容放电VC:VCC2/3-VCC/3之间,Vo保持0不变;当Vc降至VCC/3时,使得Vo 回到1,截止电容,C再充电;如此循环。

下图2-2为多谐振荡器的工作原理图。

图2-2谐振荡器电路原理图图2—2 多谐振荡器电路多振荡器电路中各参数计算:电容充电时间tw1=0.7× (R1+R2) × C电容放电时间tw1=0.7 × R2 × C脉冲周期T=tw1+tw2=0.7 ×(R1+2R2) × C频率f=1/T=1.43/(R1+2R2) × C占空比q=tw1/T=(R1+R2)/(R1+2R2)(3)波形记录从图2-3多谐振荡器波形图中可以看出,多谐振荡器的特点是:不需外触发的自激振荡器;无稳定状态,均为暂稳态;矩形波中含有丰富的高次谐波,习惯称多谐振荡器。

图2-3多谐振荡器波形2.2.2计数器电路用CC4518计数器实现60进制和24进制,然后进行级联组成秒、分、时计数。

(1)芯片介绍(国外同类型号: CD4518、MC4518)图2-4 CC4518管脚图图2-5功能表(硬件芯片)图2-6 计数状态表图2-7 CC4518 60进制计数电路图及输出波形(个位向十位的进位脉冲,需用Q4的下降沿,接EN端。

)图2-8 CC4518 24进制计数电路图及输出波形(2)功能介绍CC4518为双BCD同步加法计数器,该器件由两个相同的同步4级计数器组成。

计数器为D触发器。

具有内部可交换CP和EN线,用于在始终上升沿或下降沿加法计数。

在单个单元运算中,EN输入保持高电平,且在CP上升沿进位。

CR为高电平时,计数清零。

计数器在脉动模式可级联,通过将Q3链接至下一个计数器的EN输入端实现级联。

同时后者的CP输入保持低电平。

(3)用CC4518构成60进制、24进制计数单元电路60进制计数电路工作原理:根据CC4518的芯片功能,当CLK端接低电平时EN端为下降沿加法计数。

个位向十位的进位脉冲,利用Q3的下降沿,接EN端。

每当个位计数满9后就使高片计1从而完成计数。

要完成60进制,只需十位计数到0110,即Q1、Q2接与门再对十位进行清零即可。

24进制计数电路工作原理:24进制计数电路工作原理与60 进制计数电路工作原理基本思想相同,都是利用CLK端接低电平时EN端为下降沿加法计数。

个位向十位的进位脉冲,利用Q3的下降沿,接EN端。

每当个位计数满1001B后就使高片计1完成计数。

不同之处在于此电路是计数到24。

此时的清零工作分别要牵涉到十位和个位(0010 0100)利用个位的Q2和十位的Q1经过一个与门同时对两片CC4518芯片同时清零。

完成24进制的计数。

2.2.3译码显示电路用CC4511实现译码:用LG5011AH共阴数码管实现显示电路。

1.芯片介绍(国外同类型号:CD4511、MC4511)图2-9 CC4511管脚图功能如下:(1)LT 可检查七段显示器各字段是否能正常发光。

当LT = 0时,不论Q 0-Q 3状态如何,七段全部显示,以检查各字段的好坏。

(2)消隐功能: 当BI=0时,输出a -b 都为低电平,各字段熄灭。

(3)数码显示: 当BI=1 LT=1 LE=0,译码器工作,当Q3Q2Q1Q0端输入8421BCD码时,译码器对应的输出端输出高电平1,数码显示相应的数字。

(4)锁存:在LE 从“0”转换到“1”时,输出显示由输入的BCD 码决定。

图2-10 CC4511引脚功能表显示 输 入 输 出LE BI LT D C B A abcdefg0 0 1 1 0 0 0 0 1 1 1 1 1 1 0 1 0 1 1 0 0 0 1 0 1 1 0 0 0 0 2 0 1 1 0 0 1 0 1 1 0 1 1 0 1 3 0 1 1 0 0 1 1 1 1 1 1 0 1 1 4 0 1 1 0 1 0 0 0 1 1 0 0 1 1 5 0 1 1 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 1 1 0 0 0 1 1 1 1 1 7 0 1 1 0 1 1 1 1 1 1 0 0 0 0 8 0 1 1 1 0 0 0 1 1 1 1 1 1 1 911 1 0 0 1 11111消隐1111 0↓ 11 1 1 消隐⨯ 0 1 ⨯ ⨯ ⨯ ⨯ 0锁存1 1 1 ⨯ ⨯ ⨯ ⨯ 锁存灯测试⨯⨯⨯⨯ ⨯⨯1111111功能说明:LG5011是共阴级数码管,所有的数码管的阴极作为公共端,并一起接地。

相关文档
最新文档