武汉纺织大学数字逻辑试卷A
武汉纺织大学计算机组成原理 试卷A
计算机组成原理√√说明:答案写在答题纸上,否则不得分!一、单项选择题(20×1=20分)1.下列关于总线的说法不正确的是。
A、总线控制方法主要有集中式控制和分散式控制B、链式查询对线路故障很敏感C、总线的电气特性主要是定义每一条线上的信号的传递方向及有效电平范围D、独立请求方式的硬件电路比计数器查询方式简单2.微程序控制器中,机器指令与微指令的关系是。
A.每一条机器指令由一条微指令来执行B.每一条机器指令由一段微指令编写的微程序来解释执行C.每一条机器指令组成的程序可由一条微指令来执行D.一条微指令由若干条机器指令组成3.以下不属于流水线相关的是。
A、控制相关B、时序相关C、结构相关D、数据相关4.若某数x的真值为-0.0011010,在计算机中该数表示为1.1100110,则该数所用的编码方法是码。
A.原B.补C.反D.移5.输入、输出设备以及辅助存储器等一般统称为。
A.计算机系统B.外围设备C.外存储器D.执行部件6.在CPU中跟踪指令后继地址的寄存器是。
A.MAR B.PC C.IR D.PSW7.为了便于实现多级中断,保存现场信息最有效的办法是采用______。
A.通用寄存器B.堆栈C.存储器D.外存8.寄存器间接寻址方式中,操作数在中。
A.通用寄存器B.堆栈C.主存储器D.程序计数器9.下列步骤中不属于进行浮点加减法运算时需要完成步骤的是10.假定下列字符码中有奇偶校验位,但没有数据错误,采用奇校验的字符码是。
A 10001011B 10010110C 10000001D 1000100111.采用虚拟存储器的主要目的是。
A、提高主存储器的存取速度B、扩大主存储器的存储空间C、提高外存储器的存取速度D、扩大外存储器的存储空间12.单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个经常需采用。
A.堆栈寻址方式B.立即寻址方式C.隐含寻址方式D.间接寻址方式13.计算机系统的输入输出接口是之间的交接界面。
《数字逻辑》期末考试A卷参考答案
《数字逻辑》期末考试 A 卷参考答案
一、判断题:下面描述正确的打‘√’,错误的打‘×’ (每小题1 分,共 10 分)
1、为了表示 104 个信息,需 7位二进制编码 [√ ]
2、 BCD码能表示0 至 15 之间的任意整数[× ]
3、余 3码是有权码[× ]
4、 2421 码是无权码 [ × ]
5、二值数字逻辑中变量只能取值0 和 1,且表示数的大小 [ × ]
6、计算机主机与鼠标是并行通信[× ]
7、计算机主机与键盘是串行通信[√ ]
8、占空比等于脉冲宽度除于周期[√ ]
9、上升时间和下降时间越长,器件速度越慢
10、卡诺图可用来化简任意个变量的逻辑表达式[√]
[×]
二、写出图中电路的逻辑函数表达式。
(每小题 5 分,共10 分)
1、 F=A B
2、 F=AB CD
三、选择题:(多选题,多选或少选不得分,每小题 2 分,共 20 分)。
(完整word版)数字逻辑期末考试题
数字逻辑考试题数字逻辑考试题(一)一、填空(共17分,每空1分)1。
(1011。
11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。
3。
三态门的输出有 、 、 三种状态。
6。
ABC C B A C AB C B A Y ++=),,( 的最简式为Y= 。
7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。
10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。
11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。
12。
设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。
13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。
二、选择(共10分,每题1分)1。
DE BC A Y +=的反函数为Y =( )。
A 。
E D CB A Y +++⋅= B 。
E DC B A Y +++⋅=C 。
)(ED C B A Y +++⋅= D 。
)(E D C B A Y +++⋅= 3. 十进制数25用8421BCD 码表示为( )。
A 。
10101B 。
0010 0101C 。
100101 D. 101014。
若用1表示高电平,0表示低电平,则是( )。
A 。
正逻辑B 。
负逻辑C 。
正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( ).A. AC BC AB Y = B 。
BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。
A. 1个B. 2个C 。
3个D. 4个 9。
组合逻辑电路在电路结构上的特点下列不正确的是( )。
A 。
在结构上只能由各种门电路组成B. 电路中不包含记忆(存储)元件C. 有输入到输出的通路 D 。
有输出到输入的反馈回路10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输出07~Y Y 为( ).A. 11111101B 。
2022年武汉纺织大学公共课《大学计算机基础》期末试卷A(有答案)
2022年武汉纺织大学公共课《大学计算机基础》期末试卷A(有答案)一、单项选择题1、下面关于二进制的运算中,错误的是()A.10+01=11 B.11+01=111 C.11-01=10 D.10-01=012、二进制数101101.11对应的十六进制数是()A.2D.3B.B1.CC.2D.C D.3、下列四个不同进制的数值中,最小的数是()A.(01101011)B. (154)C. (107)10 C. (6A)164、二进制数11111110B等值的十进制数是()A.254B.252C.154D.2445、确保单位局域网的信息平安,防止来自省局内网的黑客人侵,采用以实现一定的防X作用。
()A.网管软件B.列表C.防火墙软件D.杀毒软件6、汉字国标码在两个字节中各占用()位二进制编码。
A. 6B. 7C. 8D. 97、计算机能直接执行的是()A.高级语言编写的程序B.机器语言编写的程序C.数据库语言编写的程序D.汇编语言编写的程序8、在Windows 7中,要修改日期显示的格式应该在“控制面板”的()A.“日期/时间”中进行设置B.“区域设置”中进行设置C.“显示”中进行设置D.“字体”中进行设置9、下列文件名中,属于非法Windows 7文件名的是()A.stud,ent.exeB.stud;ent.exeC.stud?ent.exeD.stud-ent.exe10、在Windows 7资源管理器中,删除U盘中文件的操作是将文件()A.放入回收站B.暂时保存到硬盘中C.从U盘中清除D.改名后保存在U盘中11、在Windows 7中,能弹出对话框的操作是()A. 选择了带省略号的菜单项B. 选择了带向右三角形箭头的菜单项C. 选择了颜色变灰的菜单项D. 运行了与对话框对应的应用程序12、Windows 7中的“任务栏”()A.只能改变位置不能改变大小B.只能改变大小不能改变位置C.既能改变位置也能改变大小D.既不能改变位置也不能改变大小13、关于对Windows 7资源管理器的操作,下列叙述不正确的是()A.单击文件夹前的“—”号,可折叠该文件夹B.单击文件夹前的“+”号,可展开该文件夹C.单击文件夹前的“+”号,该文件夹前的“+”变成“—”D.单击文件夹前的“+”号,该文件夹前的“+”变成“*”14、在Word中将图片移动到文字区域中,能实现文字环绕图片的方式是()A.嵌入型B.浮于文字下方C.紧密型D.浮于文字上方15、在Word编辑状态,对文档中的图片设置环绕方式时,不能设置的环绕方式是()A.嵌入型B.四周型C.上下型D.左右型16、查看已经打开的Word文件名,可以在()A.“窗口”菜单中找到B“编辑”菜单中找到C.“视图”菜单中找到D“插入”菜单中找到17、Word编辑时如果选择了当前文档中的全部内容,按“Del”键,则()A.该文档的内容被删除,但能恢复B.该文档的内容被删除,不能恢复C.当前文档被删除,不进入“回收站”D.当前文档被删除,并移入“回收站”18、在Word中,进行“边框和底纹”操作,应当使用的菜单是()A. “工具”菜单B. “视图”菜单C. “格式”菜单D. “编辑”菜单19、若用户以不同文件名保存一个正在编辑的Word文档时,可使用“文件”中的()A."保存”命令B.“另存为”命令C.“关闭”命令D."新建”命令20、在Excel 2010单元格中输入公式时,输入的首字符必须为()A.=B.-C.∶D.+21、在Excel 2010中,计算字符串长度的函数是()A.LENB.MAXC.MIND.SUM22、在Exce12010中,创建自定义序列的操作是()A."开始”→“填充”+“系列”B.“插入”→“对象”C.数据”→“排序和筛选”→“高级”D.“文件”→“选项”+“高级”23、在Excel 2010中,下面正确的单元格地址绝对引用格式是()A.§A§5B.¥A¥5C.&A&5D. $A$524、在Excel2010中,提供了多种图表类型,其中“饼图”用于显示()A各项数据系列的比较情况B数据系列的数据变化趋势C在两组数据间查找最优组合D构成数据系列的项目相对于项目总和的比例大小25、如果要在Excel 2010工作表区域C1:C15中输入起始值为3,公差为2的递增等差数列,在C2单元格中应输入公式()A.=C1-2B.=2-C1C.=C1+2D.=$C$1+226、在Access 2010中,数据库和表的关系是()A.一个数据库可以包含多个表B.一个表只能包含一个数据库C.一个表可以包含多个数据库D.一个数据库只能包含一个表27、在PowerPoint2010中,下列有关组织结构图的叙述正确的是()A.不可以在任何版式中插入B.对组织结构图不能整体删除C.双击组织结构图的占位符,就可以启动组织结构图处理窗口D.组织结构图的作用是表达问题的逻辑关系28、在Internet中,用户申请的电子邮箱是建立在()A.用户的计算机上B.发件人的计算机上C.邮件服务器上D.收件人的计算机上29、以一台计算机设备作为中心结点,其他计算机均与之相连的网络拓扑结构是()A.环形结构B.总线结构C.树形结构D.星形结构30、在Internet中,IPv4地址由()A.8位二进制数组成B.16位二进制数组成C.32位二进制数组成D.64位二进制数组成二、填空题31、用户自己建立的文件或文件夹除了可具有存档、只读属性外,还可具有______属性。
武汉纺织大学考试试卷模板
图论及应用2011年12月26日√√信科091、092一二三四五六七八一、(10分) 求下图的最小生成树T, 并求其权和.2 3 4 62 7 45 53 1二. (10分)用Dijkstra 算法求解下图中从1v 到其余各点的最短距离(要求写出详细步骤) 三.(10分)有8种化学药品需要空运飞越整个国家。
某些药品之间要发生化学反应,所以不能放在同一个容器中。
化学药品被标记为c 1,c 2,…,c 8。
下面列出的是某种给定的化学药品能够发生反应的其它化学药品 : 1256:,,c c c c 2357:,,c c c c 3247:,,c c c c 43678:,,,c c c c c 512678:,,,,c c c c c c 61458:,,,c c c c c 723458:,,,,c c c c c c 84567:,,,c c c c c 求运送这批化学药品需要的最少容器,并给出这批药品的一种最少分类存储方式。
(要求用图论方法求解)四(10分) 证明:在任何图中,奇度点个数为偶数。
、填空题(每空3分,共30分)1.无向完全图K6有条边。
2.设树T中有2个3度顶点和3个4度顶点,其余的顶点都是树叶,则T中有片树叶。
3 设连通无向图G有4个奇顶点,要使G变成欧拉图,在G中至少要加条边。
4 连通无向图G点数为n,边数为m,若G是平面图,则G有个面。
5 对下列图,试填下表(是⨯⨯类图的打〝√〞,否则打〝×〞)。
G1G6.下图的点色数为_______;边色数为_______。
题33、用匈牙利算法求下图的最大匹配。
数字逻辑期末考试试题题库及参考答案数电试数字逻辑期末考试试题题库及参考答案 (1)
200 /200 学年第一学期《数字电路》试卷班级________________学号________________姓名_______________成绩______________一、选择题(每题2分,共20分) 1、下面属于有权码的是( B )A 、格雷码B 、8421BCD 码C 、奇偶校验码D 、余三码2、一个两输入端的门电路,当输入为1和0时,输出不是1的门是( C )A 、与非门B 、或门C 、或非门D 、异或门 3、和逻辑式AB 逻辑关系不同的逻辑式是( B )A 、B A + B 、B A ∙C 、B B A +∙D 、A B A + 4、数字电路中机器识别和常用的数制是( A )A 、二进制B 、八进制C 、十进制D 、十六进制 5、十进制数100对应的二进制数为( C )A 、1011110B 、1100010C 、1100100D 、110001006、七段译码器74LS47(共阳)的输出低电平有效,当输入的4位数为0101显示 5时,输出七段LED 数码管的abcdefg 为( A )A 、1011011B 、0100100C 、1101101D 、00100107、在函数D ABC F +=的真值表中,0=F 的状态共有多少个( D )A 、2B 、4C 、9D 、78、下列各型号中属于优先编码器的是( C )A 、74LS85B 、74LS138C 、74LS148D 、74LS48 9、逻辑函数中的逻辑“或”和它对应的逻辑代数运算关系为( A )A 、逻辑加B 、逻辑乘C 、逻辑非10、余三码与8421BCD 码相差0011,因此,用下列哪个器件实现将8421BCD 码转换到余三码的设计最简单。
( A )A 、4位二进制加法器B 、比较器C 、数据选择器D 、译码器abcd e fg二、填空题(每空1.5分,共30分)1、具有“相异出1,相同出0”功能的逻辑门是 异或 门,它的反是 同或 门。
2022年武汉纺织大学计算机科学与技术专业《数据结构与算法》科目期末试卷A(有答案)
2022年武汉纺织大学计算机科学与技术专业《数据结构与算法》科目期末试卷A(有答案)一、选择题1、有一个100*90的稀疏矩阵,非0元素有10个,设每个整型数占2字节,则用三元组表示该矩阵时,所需的字节数是()。
A.60B.66C.18000D.332、用数组r存储静态链表,结点的next域指向后继,工作指针j指向链中结点,使j沿链移动的操作为()。
A.j=r[j].nextB.j=j+lC.j=j->nextD.j=r[j]->next3、算法的计算量的大小称为计算的()。
A.效率B.复杂性C.现实性D.难度4、有六个元素6,5,4,3,2,1顺序入栈,下列不是合法的出栈序列的是()。
A.543612B.453126C.346521D.2341565、向一个栈顶指针为h的带头结点的链栈中插入指针s所指的结点时,应执行()。
A.h->next=sB.s->next=hC.s->next=h;h->next=sD.s->next=h-next;h->next=s6、已知关键字序列5,8,12,19,28,20,15,22是小根堆(最小堆),插入关键字3,调整后的小根堆是()。
A.3,5,12,8,28,20,15,22,19B.3,5,12,19,20,15,22,8,28C.3,8,12,5,20,15,22,28,19D.3,12,5,8,28,20,15,22,197、若元素a,b,c,d,e,f依次进栈,允许进栈、退栈操作交替进行,但不允许连续三次进行退栈操作,则不可能得到的出栈序列是()。
8、下述二叉树中,哪一种满足性质:从任一结点出发到根的路径上所经过的结点序列按其关键字有序()。
A.二叉排序树B.哈夫曼树C.AVL树D.堆9、一个具有1025个结点的二叉树的高h为()。
A.11B.10C.11至1025之间D.10至1024之间10、对序列{15,9,7,8,20,-1,4}用希尔排序方法排序,经一趟后序列变为{15,-1,4,8,20,9,7}则该次采用的增量是()。
数字逻辑期末考试试卷(含答案)
2007-2008学年第一学期期末考试试题(答案)考试科目:数字逻辑 试卷类别:3卷 考试时间:110 分钟 计算机学院 ______________系 级 班姓名 学号题号 一 二 三 四 总分 得分一、选择题(每小题2分,共20分)1. 八进制(273)8中,它的第三位数2 的位权为___B___。
A .(128)10B .(64)10C .(256)10D .(8)102. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。
A .AB F = B .C AB F += C .C A AB F +=D . C B AB F +=3. 数字系统中,采用____C____可以将减法运算转化为加法运算。
A . 原码B .ASCII 码C . 补码D . BCD 码4.对于如图所示波形,其反映的逻辑关系是___B_____。
A .与关系B . 异或关系C .同或关系D .无法判断得分 评卷人装订线 内请勿答题5. 连续异或1985个1的结果是____B_____。
A .0B .1C .不确定D .逻辑概念错误6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。
A . D CB A F +++=B . DC B A F +++= C .D C B A F = D .D C B A F ++=7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。
8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。
A . 500KHzB .200KHzC . 100KHzD .50KHz9.下列器件中,属于时序部件的是_____A_____。
A.计数器 B.译码器 C.加法器 D.多路选择器10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。
数字逻辑试卷及答案
计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷学号 班级 姓名 成绩一、填空(每空1分,共14分)1、(21.5)10=( )2=( )8=( )162、若0.1101x =-,则[]x 补=( )3、十进制数809对应的8421BCD 码是( )4、若采用奇校验,当信息位为10011时,校验位应是( )5、数字逻辑电路分为( )和( )两大类6、电平异步时序逻辑电路的描述工具有( )、( )、( )7、函数()()F A B C D =+⋅+的反函数是( )8、与非门扇出系数N O 的含义是( )9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( )二、选择题(每空2分,共16分)从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内1、数字系统采用( )可以将减法运算转化为加法运算A .原码B .余3码C .Gray 码D .补码2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .113、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态A .2B .4C .5D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( )A .两个稳态B .两个暂稳态C .一个稳态,一个暂稳态D .既没有稳态,也没有暂稳态 7、可编程逻辑阵列PLA 的与、或陈列是( )A .与阵列可编程、或阵列可编程B .与阵列不可编程、或阵列可编程C .与阵列可编程、或阵列不可编程D .与阵列不可编程、或阵列不可编程 8、最大项和最小项的关系是( )A .i i m M =B .i i m M =C .1i i m M ⋅=D .无关系 三、逻辑函数化简(6分)把(,,,)(0,1,5,14,15)(4,7,10,11,12)F A B C D m d =∑+∑化成最简与—或式 四、分析题(每小题12分,共24分)1、分析图1所示组合逻辑电路① 写出输出函数表达式 ② 列出真值表 ③ 说明电路功能2、分析图2所示脉冲异步时序逻辑电路① 写出输出函数和激励函数表达式 ② 列出次态真值表,作出状态表和状态图 ③ 说明电路功能④ 设初态2100y y =,作出x 输入4个异步脉冲后的状态y 2y 1和输出z 的波形图。
数字逻辑试卷及答案
《数字电路与逻辑设计》模拟试卷1试题卷注意:1.试卷保密,考生不得将试卷带出考场或撕页,否则成绩作废。
请监考老师负责监督。
2.请各位考生注意考试纪律,考试作弊全部成绩以零分计算。
3.本试卷满分100分,答题时间为90分钟。
4.本试卷分为试题卷和答题卷,所有答案必须答在答题卷上,答在试题卷上不给分。
一、单项选择题(本大题共10小题,每小题2分,共20分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。
1. 下列四个数中,最大的数是 。
[A] (AF)16 [B] (001010000010)8421BCD[C] (10100000)2 [D] (198)104. 对于钟控RS 触发器,若要求其输出“0”状态不变,则输入的RS 信号应为 。
5. 以下各电路中, 可以产生脉冲定时。
[A] 多谐振荡器 [B] 单稳态触发器[A] 2 [B] 8 [C] 16 [[A] OC 门 [B] PMOS [C] NMOS [D] CMOS [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X[C] 施密特触发器 [D] 石英晶体多谐振荡器7. 同步时序电路和异步时序电路比较,其差异在于后者 。
[A] 没有触发器 [B] 没有统一的时钟脉冲控制[C] 没有稳定状态 [D] 输出只与内部状态有关9. 当用异步I/O 输出结构的PAL 设计逻辑电路时,它们相当于 。
[A] 组合逻辑电路 [B] 时序逻辑电路[C] 存储器 [D] 数模转换器二、多项选择题(本大题共5小题,每小题3分,共15分)在每小题列出的四个选项中有二至四个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。
多选、少选、错选均无分。
11.逻辑变量的取值1和0可以表示:。
[A]开关的闭合、断开; [B]电位的高、低;[C]真与假; [D]电流的有、无;[A]全部输入是0; [B]全部输入是1;[C]任一输入为0,其他输入为1; [D]任一输入为1;[A] 变量译码器 [B] 加法器 [C] 数码寄存器 [D] 数据选择器 [A] 触发器 [B] 晶体管 [C] MOS 管 [D] 电容 [A] 2 [B] 4 [C] 8 [D] 32[A]用电压表测量指针不动;[B]相当于悬空;[C]电压不高不低;[D]测量电阻指针不动;[A]0;[B]1;[C]Q;[D] ;[A]边沿D触发器;[B]主从RS触发器;[C]同步RS触发器;[D]主从JK触发器;三、判断题(本大题共10小题,每小题2分,共20分),正确的填T,错误的填F,请将答案填在答题卷相应题号处。
武汉纺织大学高等数学期末考试试卷(含答案)
武汉纺织大学高等数学期末考试试卷(含答案)一、高等数学选择题1.设函数,则().A、B、C、D、【答案】A2.设函数,则().A、B、C、D、【答案】C3.设曲线如图示,则在内( ).A、没有极大值点B、有一个极大值点C、有两个极大值点D、有三个极大值点【答案】B4.设,不定积分(1)(2)(3)则上述解法中().A、第(1)步开始出错B、第(2)步开始出错C、第(3)步出错D、全部正确【答案】A5.定积分.A、正确B、不正确【答案】B6.是偶函数.A、正确B、不正确【答案】B7.设,则.A、正确B、不正确【答案】A8. ( ).A、B、C、D、【答案】D9.是微分方程.A、正确B、不正确【答案】B10.设函数,则().A、B、C、D、【答案】C11.不定积分 ( ).A、B、C、D、【答案】A12.微分方程的通解是().A、B、C、D、【答案】A一、一选择题13.函数的图形如图示,则函数 ( ).A、有四个极大值B、有两个极大值C、有一个极大值D、没有极大值【答案】C14.微分方程的通解是().A、B、C、D、【答案】C15.函数的定义域为.A、正确B、不正确【答案】B。
数字逻辑期末考试A卷参考答案
数字逻辑期末考试A卷参考答案Company number【1089WT-1898YT-1W8CB-9UUT-92108】《数字逻辑》期末考试A卷参考答案一、判断题:下面描述正确的打‘√’,错误的打‘×’(每小题1分,共10分)1、为了表示104个信息,需7位二进制编码[√ ]2、BCD码能表示0至15之间的任意整数 [× ]3、余3码是有权码 [× ]4、2421码是无权码[× ]5、二值数字逻辑中变量只能取值0和1,且表示数的大小[× ]6、计算机主机与鼠标是并行通信[× ]7、计算机主机与键盘是串行通信[√ ]8、占空比等于脉冲宽度除于周期[√ ]9、上升时间和下降时间越长,器件速度越慢[√ ]10、卡诺图可用来化简任意个变量的逻辑表达式 [× ]二、写出图中电路的逻辑函数表达式。
(每小题5分,共10分)1、F=A⊕B2、F=CDAB+三、选择题:(多选题,多选或少选不得分,每小题2分,共20分)四、填空题(每空1分,共20分)1、一个触发器可表示__1__位二进制码,三个触发器串接起来,可表示__3__ 位二进制数。
2、欲表示十进制的十个数码,需要__4__个触发器。
3、寄存器中,与触发器相配合的控制电路通常由_门电路_(选择提示:门电路、触发器、晶体二极管)构成。
4、一个五位的二进制加法计数器,由00000状态开始,问经过75个输入脉冲后,此计数器的状态为__01011_。
5、四位移位寄存器可以寄存四位数码,若将这些数码全部从串行输出端输出,需经过__3__个时钟周期。
6、_RS_触发器存在输入约束条件,_主从JK_触发器会出现一次翻转现象。
7、负跳沿触发翻转的主从JK触发器的输入信号应该在CP为_低电平_时加入,在CP为_高电平_时输入信号要求稳定不变。
8、正跳沿触发翻转的D触发器的输入信号在CP _上升沿_前一瞬间加入。
数字逻辑试卷
数字逻辑试卷数字逻辑试题1 (闭卷)⼀、填空:(每空1分,共20分) 1、(20.57)8 =()16 2、(63.25) 10= ( )2 3、(FF )16= ( )104、[X]原=1.1101,真值X= __________,[X]补 = ___________。
5、[X]反=0.1111,[X]补= _____________。
6、-9/16的补码为_________________,反码为_______________。
7、已知葛莱码为1000,⼆进制码为___________________,已知⼗进制数为92,其余三码为___________________。
8、时序逻辑电路的输出不仅取决于当时的________,还取决于电路的 ________ 。
9、逻辑代数的基本运算有三种,它们是________ 、________ 、_________ 。
10、1⊕⊕=B A F ,其最⼩项之和形式为______________________。
11、RS 触发器的状态⽅程为________________,约束条件为______________。
12、已知AB B A F +=1、B A B A F +=2,则两式之间的逻辑关系为________________。
13、触发器的CP 时钟端不连接在⼀起的时序逻辑电路称之为_______步时序逻辑电路。
⼆、简答题(20分)1、列出设计同步时序逻辑电路的步骤。
(5分)2、化简)(B A B A ABC B A F +++= (5分)3、分析以下电路,其中RCO 为进位输出。
(5分)4、下图为PLD 电路,在正确的位置添 * ,设计出B A F ⊕=函数。
(5分)三、分析题(30分)1、分析以下电路,说明电路功能。
(10分)2、分析以下电路,其中X为控制端,说明电路功能。
(10分)3、分析以下电路,说明电路功能。
(10分)四、设计题(30分)1、设计⼀个带控制端的组合逻辑电路,控制端X=0时,实现BAF⊕=,控制端X=1时,实现ABF=,⽤与⾮门及反相器实现。
大学数字电路与逻辑设计考试试题
大学数字电路与逻辑设计考试试题(总12页)-CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号:一、基本题(301. 用公式法化简函数C B C A C B A Y ++••=1(5分)答案:C B A B A C C B C A C B A Y +)+•(=++••=1(1分)=C B A B C +)+((1分) =C B A C B C ++•(1分) =)++(B A B C (1分)=C (1分)2. 试用卡诺图法将下面逻辑函数化成最简与-或式。
(必须画出卡诺图,圈“1”,8分) 答案: C B BC Y •+=23. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。
(6分) 答案:IL OL ≥I N I L ,10=≤IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IHOHH I I N (2分);故10=H N (2分)4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。
(4分) 答案:5. 8位数模转换器DAC0832构成的电路如图1.2所示。
(1)写出输出电压O v 的计算公式;(2)若输入数字量为100000时,输出模拟电压O v 答案:(1)=O v -ii i D V 22∑78REF (3分) Ov(2)=6.3-78REF 2×2V ;=REF V -7.2V (2分)时,=O v -V 725.4=)2+2+2(22.73578(2分)二(10图2(a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。
(完整版)1数字逻辑试卷及答案参考
XXXX 大学XXXX-XXXX 学年第一学期期末考试试题及答案考试科目:数字逻辑电路 试卷类别:3卷 考试时间:120 分钟 XXXX 学院 ______________系级班姓名学号毛题号一二三四总分得分一、选择题1. 八进制(273)8中,它的第三位数2 的位权为___B___。
A .(128)10B .(64)10C .(256)10D .(8)102. 已知逻辑表达式B C A AB F ++=,与它功能相等的函数表达式_____B____。
A .AB F = B .C AB F += C .C A AB F +=D . C B AB F +=3. 相邻两组编码只有一位不同的编码是 DA .8421BCD 码B. 5421BCD 码C. 余3码D.循环码4.对于如图所示波形,其反映的逻辑关系是___B_____。
A .与关系B . 异或关系C .同或关系D .无法判断5. 连续异或2012个1的结果是____A_____。
A .0B .1C .不确定D .逻辑概念错误得分评卷人t 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。
A . DC B A F +++= B .D C B A F +++=C . D .D C B A F =D C B A F ++=7.下列所给三态门中,能实现C=0时,F=;C=1时,F 为高阻态的AB 逻辑功能的是____A______。
8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。
A . 500KHzB .200KHzC . 100KHzD .50KHz 9.下列器件中,属于时序部件的是_____A_____。
A . 计数器B . 译码器C . 加法器D .多路选择器10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。
武汉纺织大学计算机组成原理五校联考试卷
2011-2012学年第一学期考试试题(A)卷课程名称《计算机组成基础》任课教师签名 ______出题教师签名 2011计算机合作联盟命题组审题教师签名考试方式(闭)卷适用专业计算机各专业考试时间(120)分钟姓名:学号:班级:一、单项选择题(每小题2分,共20分)1.已知X为整数,且[X]补 = 10011011,则X的十进制数值是______。
A +155B –101C –155D +1012. 计算机中,通常用_____ 来存放访问存储器的地址A 指令寄存器B 地址寄存器C 程序计数器D 数据寄存器3. 某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为_____。
A 64,16B 16,64C 64,8D 16,164主存贮器和CPU之间增加cache的目的是_____。
A 解决CPU和主存之间的速度匹配问题B 扩大主存贮器容量C 扩大CPU中通用寄存器的数量D 既扩大主存贮器容量,又扩大CPU中通用寄存器的数量5 在单级中断系统中,CPU一旦响应中断,则立即关闭_____标志,以防本次中断服务结束前同级的其他中断源产生另一次中断进行干扰。
A 中断允许B 中断请求C 中断屏蔽D 中断保护6 运算器的核心部件是____A 算术逻辑单元ALUB 多路选择器C 通用寄存器D 输出三态门7 微程序控制器中,机器指令与微指令的关系是_____。
A 每一条机器指令由一条微指令来执行B 每一条机器指令由一段微指令编写的微程序来解释执行C 每一条机器指令组成的程序可由一条微指令来执行D 一条微指令由若干条机器指令组成8 指令周期是指____。
A CPU从主存取出一条指令的时间B CPU执行一条指令的时间C CPU从主存取出一条指令加上CPU执行这条指令的时间D 时钟周期时间9 多总线结构的计算机系统,采用_____方法,对提高系统的吞吐率最有效。
A 多端口存贮器B 提高主存的速度C 交叉编址多模块存贮器D 高速缓冲存贮器10周期挪用方式常用于______方式的输入/输出中。
《数字电路与数字逻辑》期末考试试卷A卷(1)
广西科技大学2015—2016学年第 1 学期课程考核试题 考核课程 数字电路与数字逻辑 ( A 卷)考核班级 软件141、142 学生数 80 印数 85 考核方式 闭卷 考核时间 120 分钟一、 选择题(每题2分,共20分)1. 数字9的BCD 码是1001,那么它的余三码是( A )A 1100B 1001C 0110D 11112. 若输入变量A 、B 全为1时,输出F 为1,其余情况下,F 为0,那么F 与AB 的关系是( B )A B A F ⊕= B B A F ⋅= C B A F += D B A F +=3. 二进制数1100转换成十六进制数是( C )A 12HB 0AHC 0BHD 0CH4. 逻辑表达式=⋅+B A A ( C )A B A ⋅ B B C A D A5. 组合逻辑电路通常由( A )组合而成。
A 门电路B 计数器C 触发器D 寄存器6. 时序逻辑电路中一定包含( C )A 门电路B 计数器C 触发器D 寄存器7. 有2个与非门构成的基本RS 触发器,如果要触发器输出保持不变,则()QA 00=S RB 01=S RC 10=S RD 11=S R8. T 触发器,如果T 端为高电平,那么CP 脉冲来的时候,输出Q 应( )A 为0B 为1C 保持不变D 翻转9. 时序逻辑电路输出状态的改变( )A 仅与该时刻输入信号的状态有关B 仅与时序电路的原状态有关C 与时序电路的原状态无关D 与A 、B 两项皆有关10.以下( B )不是解决组合逻辑电路中竞争冒险现象的方法。
A 增加冗余项B 使用卡诺图化简C 增加选通脉冲D 改变设计方法二、 使用卡诺图法化简以下逻辑函数(每题5分,共20分)1. ∑=)15,14,13,11,9,8,5,4,0(),,,(m D C B A F2. ∑=)13,10,8,5,2,1,0(),,,(m D C B A F3. ∑=)14,13,12,7,6,5,4(),,,(m D C B A F4. ∑∑+=)11,10,9,8()15,13,5,4,3,2(),,,(d m D C B A F三、 问答题(每题10分,共40分)1.分析下图所示的组合逻辑电路。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
计科061~063、0621~0622、网络061~062 数字逻辑 2008-5-28 一、 单项选择题(本题共10小题,每小题1分,共10分)。
1. n 个变量的最小项是(c )。
(A)n 个变量的积项,它包含部分变量 (B)n 个变量的和项,它包含全部n 个变量 (C 每个变量都以原变量或者反变量的形式出现,且仅出现一次。
(D)n 个变量的和项,它不包含全部变量 2. 欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是( b )。
(A)5 (B)6 (C)10 (D)53 3. 一块数据选择器有三个地址输入端,则它的数据输入端应有( c )。
(A)3 (B)6 (C)8 (D)1 4. 或非门构成的基本RS 触发器,输入端SR 的约束条件是(c )。
(A)SR=0 (B)SR=1 (C)1____=+R S (D) 0____=+R S 5. 和Mealy 型时序电路比较Moore 型时序电路的特点是( b )。
(A)没有输入变量 (B)输出只和电路的状态有关,和输入无关 (C)没有输出变量 (D)输出只和输入有关,和电路状态无关 6. 组合型PLA 是由( a )构成。
(A)与门阵列和或门阵列 (B)一个计数器 (C)一个或阵列 (D)一个寄存器 7. 两输入与非门输出为0时,输入应满足( a )。
(A)两个同时为1 (B)两个同时为0 (C)两个互为相反 (D)两个中至少有一个为0 8. 输出F=__A 的是下图中的第( d )个电路。
(A)1 (B)2 (C)3 (D)4 9. 一个T 触发器,在T=1时,来一个时钟脉冲后,则触发器( d )。
(A)保持原态 (B)置0 (C) 置1 (D) 翻转
一 二 三 四 √ √ 五 六 七 八
10. 十进制数33的余3码为( c )。
(A) 00110110 (B) 110110 (C) 01100110 (D) 100100 二、 填空题 在每小题的括弧中填正确答案(本题共5空,每空2分,共10分)。
● 设计同步时序逻辑电路时,若有6个状态,至少需要选(3 )个触发器。
● 357的8421BCD 码的是( 0011010111 )。
● 目前常用的可编程逻辑器件除了CPLD 外还有( fpga )。
● 已知X=23/64,则8位[X]补= ( 0.01010111 )。
● 根据反演规则可知,逻辑函数C B B A F +=的反函数为( (a+) )。
三、 用卡诺图化简下面函数,求出它的最简与或表达式。
(15分) ∑∑+=d D C B A F )15,13,7,5()14,12,11,10,9,8,2,0(),,,(
五、 使用中规模8选1数据选择器(74LS151)实现逻辑函数。
(15分) CD D AC ABC C A F +++= 74LS151的逻辑功能示意图如图。
(图中D 0-D 7为数据输入端,A 、B 、C 为数据选择端(A 为高位,C 为低位),/G 为使能端,Y 、W 为输出端。
) G A B D 0Y W D 1D 2D 3D 4D 5D 6D 7C 六、 用隐含表法化简下表所示的原始状态表,并画出最简状态表。
(15分) 现态 次态/输出 X =0 X=1 A A/1 D/0 B F/1 A/1 C F/0 A/0 D D/1 A/0 E C/0 E/1 F C/0 A/0
七、使用ROM实现3位二进制码到格雷码的转换。
(15分)
下表为3位二进制码到3位格雷码转换真值表,表中的A2A1A0表示二进制码,G2G1G0表示格雷码。
用ROM实现该逻辑,画出ROM阵列图。
八、分析以下VHDL语句实现的功能,要求画出引脚图并说明各引脚的功能。
(10分)
library ieee;
use ieee.std_logic_1164.all;
entity mux is port(
a, b, c, d: in std_logic_vector(3 downto 0);
s: in std_logic_vector(1 downto 0);
x: out std_logic_vector(3 downto 0));
end mux;
architecture archmux of mux is
begin
mux4_1: process (a, b, c, d)
begin
if s = "00" then
x <= a;
elsif s = "01" then
x <= b;
elsif s = "10" then
x <= c;
else
x <= d;
end if;
end process mux4_1;
end archmux;。