8路移存型彩灯控制器数字逻辑课程设计报告

合集下载

8路移位型彩灯五邑大学课程设计

8路移位型彩灯五邑大学课程设计

五邑大学综合电子课程设计报告题目:8路移位型彩灯设计院系信息工程学院专业电子信息工程学号学生指导教师敏8路移位型彩灯设计一、课程设计的目的1.培养学生根据需要选学参考书,查阅手册,图表和文献资料的自学能力,通过独立思考﹑深入钻研有关问题,学会自己分析解决问题的方法。

2. 利用所学过的知识,通过设计计算﹑元件选取﹑电路板制作调试等环节,初步掌握工程设计的技能。

3.掌握常用仪表的正确使用方法,学会简单电路的实验调试和整机指标测试方法,使学生巩固和加深对模拟电路和数字电路的理论知识,锻炼学生的动手能力。

4.了解与课程有关的电子电路以及元器件工程技术规,能按课程设计任务书的技术要求,编写设计说明,能正确反映设计和实验成果,能正确绘制电路图。

5.培养严谨的工作作风和科学态度,使学生逐步建立正确的生产观点,经济观点和全局观点。

二、总方案设计1.原理图图表 2-1 原理图电路总体可视为5个模块,分别是稳压模块,时钟信号模块,逻辑控制模块,花型演示模块与复位电路模块。

稳压模块由把变压器输出的6V、50Hz的交流电通过整流和线性稳压,变成系统所需要5V直流电源,为整个电路提供动力。

时钟信号模块由NE555构成的多谐振荡器,输出自动产生的矩形波,为系统提供时钟信号。

逻辑控制模块主要有一片74LS161和74LS04组成,通过控制两片74LS194的S1、S0和SR、SL对花型演示模块起花型的控制作用。

花型演示模块由两片74LS194和八个LED灯组成,是花型演示的最后部分。

复位模块对电路起复位作用,控制着74LS191 74LS161的清零端。

2.PCB原理图图表 2-2 PCB原理图大小6cm*7cm 3条飞线三、具体电路的设计1.稳压模块。

图表 3-1.1 稳压电路上图中的四个二极管组成了全部桥式整流电路。

设VDD与SGND之间的交流电压为U2。

U2为正半周时,对D1、D3加正向电压,Dl,D3导通;对D2、D4加反向电压,D2、D4截止。

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器第一篇:EDA课程设计:八路彩灯控制器EDA课程设计设计题目:基于VHDL的8路彩灯控制器设计一、课程设计的目的1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。

2.增强自己实际动手能力,独立解决问题的能力。

3.通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。

设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。

三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为0.25s和0.5s。

三种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。

(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。

(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。

四、实验环境PC机一台;软件Quartu sⅡ6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。

二选一模块:选择两种频率中的一个控制彩灯的花型。

8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenclkk1:= not clkk1;end if;clkk<=clkk1;end process;end behav;RTL电路图:波形图:2.二选一模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when “00100”=>q<=“11111111”;when “00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when“01100”=>q<=“10000001”;when“01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;w hen“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;RTL电路图:波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenend if;clkk<=clkk1;end process;end behav;library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;library ieee;clkk1:= not clkk1;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when“00100”=>q<=“11111111”;when“00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when “01100”=>q<=“10000001”;when “01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;when“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng is port(clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0));end;architecture one of balucaideng issignal h0,h1:std_logic;component fenpin2port(clk:in std_logic;clkk:out std_logic);end component;component mux21 port(a,b,s:in std_logic;y:out std_logic);end component;component color8 port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end component;begin u1: fenpin2 port map(clk=>clk,clkk=>h0);u2: mux21 port map(a=>h0,b=>clk,s=>s;y=>h1);u3: color8 port map(clk=>h1,rst=>rst,q=>q);end;波形图:六、实验总结第二篇:eda课程设计-彩灯控制器1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。

八路彩灯控制器 课程设计

八路彩灯控制器 课程设计

目录第一章总体设计 (5)1.1设计目的 (5)1.2设计任务与要求 (5)1.3基本工作原理 (5)1.4设计方案 (5)第二章单片机简介 (6)2.1单片机结构 (6)2.2单片机的封装形式、引脚定义及功能 (7)2.3单片机的工作原理 (8)2.4 CPU的工作原理 (8)2.5存储器结构 (9)2.6 CPU时序及时钟电路 (10)2.7复位操作 (12)第三章硬件设计 (14)3.1整体硬件接结构 (14)3.2功能模块电路 (14)3.3系统硬件原理电路图 (16)第四章软件设计 (17)4.1软件总体结构设计 (17)4.2各功能模块设计 (18)总结与心得 (25)参考文献: (26)第一章总体设计1.1设计目的1)了解单片机的基本原理及相关的简单应用。

2)掌握用单片机设计系统的一般步骤。

3)了解LED数码管的基本知识和驱动方法。

4)掌握单片机系统各个组成部分的作用以及分布位置。

5)学会运用单片机的硬件资源。

1.2设计任务与要求8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。

要求:完成以下花形变化:1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。

时间节拍为1秒。

2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。

时间节拍为1秒。

3)循环往复,用LED管模拟彩灯。

4)用汇编语言编程,用proteus仿真。

1.3基本工作原理此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。

由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。

若查到的内容为跳出代码就重新开始循环。

1.4设计方案软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。

硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。

数电课程设计报告八路花样灯控制器的设计

数电课程设计报告八路花样灯控制器的设计

数电课程设计报告八路花样灯控制器的设计学校:班级:成员:指导老师:2012.6.24目录一、设计要求 (3)二、设计目的 (3)三、技术指标 (3)四、元件清单 (3)五、电路框图 (4)六、单元电路设计 (4)七、总电路图 (7)八、问题及解决办法 (8)九、心得体会 (9)十、参考文献 (9)十一、附录(此次的课程设计的部分花样变化图) (10)一、设计要求:1、有一个时钟电路。

2、有八个LED发光二极管输出电路。

3、至少16种变化的花样控制。

二、设计目的:1、巩固和加深对电子电路的基本知识的理解,提高综合运用本课程所学的知识的能力。

2、培养根据设计需要选学参考书籍,查阅相关手册,图表和文献资料的自学能力。

3、通过电路的方案分析,论证和比较,设计计算选取元件,电路组装,调试等环节初步掌握简单实用电路的分析方法和工程设计方法。

4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电路实验的基本技能三、技术指标:设计一个有八个LED灯输出花样的控制器电路,其中有三个LED灯受74ls194芯片控制,4个LED灯受74ls160芯片控制,最后一个LED灯由两片74ls160芯片组成的二十三进制计数器的进位来控制。

从第十个时钟脉冲开始第一片74LS160的Q0端变成高电平。

此时74LS194开始移位。

通过对电源的通断来控制复位。

另外三个主要芯片统一由一个时钟芯片来控制,进而达到同步工作。

最后加上一个非门和一个与非门等完成了八路花样灯控制器的设计。

进而实现了八路LED的输出。

并且该控制器共有32种变化的花样。

(附录有其中18种花样)四、元器件清单:五、电路框图六、单元电路的设计我们设计的八路花样灯控制器共分为四大模块。

它们分别是:时钟电路模块、74LS194移位寄存器模块、主控制模块(由两片74LS160构成)、显示模块(8个发光二级管LED)(1)时钟电路图(1)图(1)所示是用555定时器接成的多谐振荡器,其分析如下:启动Multisim 11程序,我们从混合器件库(Mixed)中的定时器件(Timer)中取出 555 定时器,从工具栏中找出电阻R、电容C、地及电源VDD信号。

数字逻辑课程设计—彩灯控制器电路设计说明

数字逻辑课程设计—彩灯控制器电路设计说明

师大学计算机与信息工程学院《电子技术综合课程设计》报告设计题目彩灯控制器电路设计指导教师萍萍职称讲师姓名XXX学号2012110****日期2014年6月24日彩灯控制器电路设计计算机与信息工程学院 12级计算机与科学技术(非师) XXX 2012110****指导教师萍萍讲师摘要本文介绍了8路彩灯控制器功能的实现,主要通过计数器74LS161和移位寄存器74LS194两个芯片,同时借助了04芯片共同实现花型变化这个功能。

关键词 74LS161;74LS194;彩灯控制器电路1 设计任务及主要技术指标和要求设计一个能够控制八路彩灯的逻辑电路。

要求彩灯组成二种花型,花型Ⅰ——由两边到中间对称性依次亮,全亮后仍由两边向中间依次灭,花型Ⅱ——由中间到两边对称性依次亮,全亮后仍由中间向两边依次灭。

并且要求两种花型交替出现。

2引言8 路彩灯控制器主要通过 74LS161计数器送数使得74LS194移位寄存器左移右移,使得在输出端控制灯亮灭形成花型。

3工作原理电路利用移位寄存器 74LS194 的不同状态的改变,用74LS161控制串行输入。

8个并行输出端接到彩灯上,当双向移位寄存器74LS194的控制端S1=0,S0=1时,进行右移,S1=1,S0=0时,进行左移;十六位计数器74LS161可以从0000到1111进行计数。

可以利用它的Q3对74LS194进行控制。

74LS161是四位二进制同步加数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、保持等功能,如表一所示表一74LS161功能表从图一可知当CR、LD、P、T等于1时74LS161实行计数功能,记录16个状态。

74LS194 是一个4 位双向移位寄存器,它具有左移,右移,保持,清零等如表二所示表二75LS194功能表在彩灯控制电路设计中只用了74LS194左移右移功能,来实现彩灯的花型,双向移位寄存器74LS194的控制端S1=0,S0=1时,进行右移,S1=1,S0=0 时,进行左移,十六位计数器 74LS161可以从0000到1111进行计数。

数字逻辑课程设计—彩灯控制器电路设计

数字逻辑课程设计—彩灯控制器电路设计

内蒙古师范大学计算机与信息工程学院《电子技术综合课程设计》报告设计题目彩灯控制器电路设计指导教师萍萍职称讲师姓名XXX学号2012110****日期2014年6月24日彩灯控制器电路设计计算机与信息工程学院 12级计算机与科学技术(非师范) XXX 2012110****指导教师萍萍讲师摘要本文介绍了8路彩灯控制器功能的实现,主要通过计数器74LS161和移位寄存器74LS194两个芯片,同时借助了04芯片共同实现花型变化这个功能。

关键词74LS161;74LS194;彩灯控制器电路1 设计任务及主要技术指标和要求设计一个能够控制八路彩灯的逻辑电路。

要求彩灯组成二种花型,花型Ⅰ——由两边到中间对称性依次亮,全亮后仍由两边向中间依次灭,花型Ⅱ——由中间到两边对称性依次亮,全亮后仍由中间向两边依次灭。

并且要求两种花型交替出现。

2引言8 路彩灯控制器主要通过 74LS161计数器送数使得74LS194移位寄存器左移右移,使得在输出端控制灯亮灭形成花型。

3工作原理电路利用移位寄存器 74LS194 的不同状态的改变,用74LS161控制串行输入。

8个并行输出端接到彩灯上,当双向移位寄存器74LS194的控制端 S1=0,S0=1时,进行右移,S1=1,S0=0时,进行左移;十六位计数器74LS161可以从0000到1111进行计数。

可以利用它的Q3对74LS194进行控制。

74LS161是四位二进制同步加数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、保持等功能,如表一所示表一74LS161功能表从图一可知当CR、LD、P、T等于1时74LS161实行计数功能,记录16个状态。

74LS194 是一个4 位双向移位寄存器,它具有左移,右移,保持,清零等如表二所示表二75LS194功能表在彩灯控制电路设计中只用了74LS194左移右移功能,来实现彩灯的花型,双向移位寄存器74LS194的控制端S1=0,S0=1时,进行右移,S1=1,S0=0 时,进行左移,十六位计数器 74LS161可以从0000到1111进行计数。

数电课程设计-八路彩灯控制器

数电课程设计-八路彩灯控制器

湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级 1102学号 201101010210姓名郭昕指导教师田莉2013年 12月 27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:201101010210指导老师:田莉审批:田莉任务书下达日期 2013年 12月 16日设计完成日期 2013年 12月 27日目录一课程设计题目(与实习目的) (7)(一)、题目:多路彩灯控制器 (7)(二)、实习目的: (7)二总体方案的选择 (7)(1)总体方案的设计 (7)(2)总体方案的选择 (8)三单元电路的设计 (9)(1)花型演示电路 (9)(2)花型控制信号电路 (13)(3)节拍控制电路 (14)(4)时钟信号电路原理图 (16)四总体电路图(见附页) (18)五电路组装后,实际测量的各个单元电路的输入、输出信号波形18六安装、调试过程 (21)七故障分析与电路改进 (22)(一)、巩固数电知识 (23)(二)、学会用电路板、芯片、导线等组装各种功能的电路; (23)(三)、和同学共同合作、互相学习、共同进步 (24)八总结: (24)九附录(元器件清单): (25)十参考文献。

(25)一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

二总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。

数字逻辑课程设计—彩灯控制器电路设计

数字逻辑课程设计—彩灯控制器电路设计

内蒙古师范大学计算机与信息工程学院《电子技术综合课程设计》报告设计题目彩灯控制器电路设计指导教师萍萍职称讲师姓名XXX学号2012110****日期2014年6月24日彩灯控制器电路设计计算机与信息工程学院12级计算机与科学技术(非师范) XXX 2012110****指导教师萍萍讲师摘要本文介绍了8路彩灯控制器功能的实现,主要通过计数器74LS161和移位寄存器74LS194两个芯片,同时借助了04芯片共同实现花型变化这个功能。

关键词74LS161;74LS194;彩灯控制器电路1 设计任务及主要技术指标和要求设计一个能够控制八路彩灯的逻辑电路。

要求彩灯组成二种花型,花型Ⅰ——由两边到中间对称性依次亮,全亮后仍由两边向中间依次灭,花型Ⅱ——由中间到两边对称性依次亮,全亮后仍由中间向两边依次灭。

并且要求两种花型交替出现。

2引言8 路彩灯控制器主要通过 74LS161计数器送数使得74LS194移位寄存器左移右移,使得在输出端控制灯亮灭形成花型。

3工作原理电路利用移位寄存器 74LS194 的不同状态的改变,用74LS161控制串行输入。

8个并行输出端接到彩灯上,当双向移位寄存器74LS194的控制端S1=0,S0=1时,进行右移,S1=1,S0=0时,进行左移;十六位计数器74LS161可以从0000到1111进行计数。

可以利用它的Q3对74LS194进行控制。

74LS161是四位二进制同步加数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、保持等功能,如表一所示表一74LS161功能表从图一可知当CR、LD、P、T等于1时74LS161实行计数功能,记录16个状态。

74LS194 是一个4 位双向移位寄存器,它具有左移,右移,保持,清零等如表二所示表二75LS194功能表在彩灯控制电路设计中只用了74LS194左移右移功能,来实现彩灯的花型,双向移位寄存器74LS194的控制端S1=0,S0=1时,进行右移,S1=1,S0=0 时,进行左移,十六位计数器 74LS161可以从0000到1111进行计数。

最新八路彩灯控制器数字逻辑

最新八路彩灯控制器数字逻辑

八路彩灯控制器数字逻辑内容摘要节日彩灯经常被用来增添节日气氛,是日常生活中不可缺少的一个节日装饰为了让彩灯自动的出现图案的变化,因此要设计彩灯控制电路来实现。

本课题要设计的是彩灯控制器电路,使彩灯能自动实现3种不同图案闪现的变化。

从来让彩灯更能使节日气氛活跃。

该控制电路控制器有8组输出,每组驱动4只LED;32只LED组成彩灯图案,图案的状态变换有三种;图案的状态变换能实现定时自动切换;图案的状态变换速度有快、慢2种;控制器具有清零的功能。

关键字:LED 自动控制清零目录一、概述 (1)二、方案设计与论证 (2)三、单元电路设计与分析 (3)1.初态产生电路的设计 (3)2.状态变换产生电路的设计 (4)四、总原理图及元器件清单 (5)五、结论 (7)六、心得体会 (8)七、参考文献 (9)一、概述“左后摆动”图案的状态变换由两种状态构成,即 01010101和10101010两种状态,由于每种状态中不相邻的2位轮流在高、低电平中转换,高电平为亮点,低电平为暗点两种状态相互转化就形成了“左右摆动”图案;“暗点移动”图案的状态变换由4种状态构成,即01111111、10111111 、11011111和11101111四种状态,由于每种状态中都有一位低电平(暗点),而且低电平逐位循环右移,所以这4位状态之间的转换就形成了“暗点移动”图案;“逐渐亮再逐渐暗”图案的状态变换由8种状态构成,即00000000、10000000、1……11111100、11111110、11111111、01111111、00111111、00011111……00000001和00000000这16种状态,在这16种状态中,从状态00000000到状态11111111,LED将从左到右逐次点亮,而从状态11111111到状态00000000,LED将又从左到右逐次点暗,以上8种状态就形成了“逐渐亮再逐渐暗”的图案。

通过74LS161十进制同步计数器,构成一个三进制计数器,产生三种图案的初态。

8路彩灯控制器课程设计设计报告

8路彩灯控制器课程设计设计报告

EDA课程设计报告课题名称:8路彩灯控制器课程设计专业年级:电子信息科学与技术2009级学号: ********学生姓名: ********指导教师:*********报告完成日期2011 年 6 月10日第一章绪论1.1 系统背景EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

伴随着计算机、集成电路和电子设计技术的发展,EDA技术在过去的几十年里取得了巨大的进步。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件便可完成对系统硬件功能的实现。

如今,EDA软件工具已经成为电子信息类产品的支柱产业。

从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。

可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。

相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点。

因此设计者可以不必了解硬件结构。

从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。

数电课程设计_八路彩灯控制器

数电课程设计_八路彩灯控制器

湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级1102学号0210姓名郭昕指导教师田莉2013年12月27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:0210指导老师:***审批:田莉任务书下达日期2013年12月16日设计完成日期2013年12月27日目录一课程设计题目(与实习目的)错误!未定义书签。

(一)、题目:多路彩灯控制器错误!未定义书签。

(二)、实习目的:错误!未定义书签。

二总体方案的选择错误!未定义书签。

(1)总体方案的设计错误!未定义书签。

(2)总体方案的选择错误!未定义书签。

三单元电路的设计错误!未定义书签。

(1)花型演示电路错误!未定义书签。

(2)花型控制信号电路错误!未定义书签。

(3)节拍控制电路错误!未定义书签。

(4)时钟信号电路原理图错误!未定义书签。

四总体电路图(见附页)错误!未定义书签。

五电路组装后,实际测量的各个单元电路的输入、输出信号波形错误!未定义书签。

六安装、调试过程错误!未定义书签。

七故障分析与电路改进错误!未定义书签。

(一)、巩固数电知识错误!未定义书签。

(二)、学会用电路板、芯片、导线等组装各种功能的电路;错误!未定义书签。

(三)、和同学共同合作、互相学习、共同进步错误!未定义书签。

八总结:错误!未定义书签。

九附录(元器件清单):错误!未定义书签。

十参考文献。

错误!未定义书签。

一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

8路彩灯移存型控制器的设计

8路彩灯移存型控制器的设计

8路彩灯移存型控制器的设计一、实验目的1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。

2.熟悉并掌握用移位寄存器设计彩灯控制的方法。

二、设计任务与要求设计一个8路彩灯控制系统,要求:1.彩灯明暗变换的节拍为0.25和0.5s,两种节拍交替运行。

2.彩灯演示花型为8种(花型自拟)。

3.彩灯用发光二极管模拟。

三、设计过程设计包括三个模块:1.做出一个可以输出频率分别为2Hz(0.5s)和4Hz(0.25s)的时钟。

利用函数信号发生器,可以直接得到一个4Hz的方波,现在需要考虑设计出2Hz的输出,代码如下:library ieee;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity clock2 is %不同输出频率的时钟的实体port(-- Input portsclk : in std_logic;-- Output portsclk25:out std_logic;clk50:out std_logic);end clock2;architecture one of clock2 issignal clk50a:std_logic;signal divide_num:bit; %二进制beginprocess(clk)beginif(clk'event and clk='1')thenif (divide_num='1')thenclk50a<='0';divide_num<='0';elseclk50a<='1';divide_num<='1';end if;end if;end process;clk50<=clk50a;clk25<=clk;end one;编译通过后,将其原件例化,得到我们所需要的模块1器件:2.做出彩灯实现部分,参考书上例题,代码如下:library ieee;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity colorlight8 isport(-- Input portsclk0 : in std_logic;reset :in std_logic;-- out1:out std_logic;-- out2:out std_logic;-- out3:out std_logic;-- outnum:out integer;-- outnum1:out integer;cout : out std_logic_vector(7 downto 0));end colorlight8;architecture one of colorlight8 issignal lights:std_logic_vector(7 downto 0):="11111111"; signal conditon_num:integer range 0 to 36 ;begincout<=lights;process(clk0,reset)beginif (reset='0') thenconditon_num<=0;elsif(clk0'event and clk0='1')thenif(conditon_num<36) thenconditon_num<=conditon_num+1;elseconditon_num<=0;end if;end if;end process;process(conditon_num)begincase conditon_num iswhen 0 =>lights<="00000000";when 1=>lights<="10000000";when 2=>lights<="11000000";when 3=>lights<="11100000";when 4=>lights<="11110000";when 5=>lights<="11111000";when 6=>when 7=>lights<="11111110";when 8=>lights<="11111111"; when 9=>lights<="00000000";when 10=>lights<="11000000";when 11=>lights<="00110000";when 12=>lights<="00001100";when 13=>lights<="00000011"; when 14=>lights<="00000000";when 15=>lights<="11110000";when 16=>lights<="00001111"; when 17=>when 18=>lights<="10101010";when 19=>lights<="01010101"; when 20=>lights<="00000000";when 21=>lights<="00011000";when 22=>lights<="00111100";when 23=>lights<="01111110";when 24=>lights<="11111111"; when 25=>lights<="00000000";when 26=>lights<="10001000";when 27=>lights<="01000100";when 28=>lights<="00100010";when 29=>lights<="00010001"; when 30=>lights<="00000000";when 31=>lights<="11110000";when 32=>lights<="00111100";when 33=>lights<="00001111"; when 34=>lights<="00000000";when 35=>lights<="10011001";when 36=>lights<="01100110";end case;end process;end one;同理,将其例化:3.做出选择频率的装置:在模块2里,每两个花型之间,用‘00000000’分界,所以以这个为标志,做为选择频率的分界点——即当出现‘00000000’的时候,反馈给选频模块,使它可以变换频率,代码如下:library ieee;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity selclock isport(-- Input portsclk25:in std_logic;--clk50:in std_logic;cin:in std_logic_vector(7 downto 0);clock0:out std_logic);end selclock;architecture one of selclock issignal num:std_logic;signal temp:std_logic;begintemp<=cin(7) or cin(6) or cin(5) or cin(4) or cin(3) or cin(2) or cin(1) or cin(0);process(temp)beginif(temp'event and temp='0')thennum<=not num;end if;end process;with num selectclock0<=clk25 when '0',clk50 when '1','1' when others;end one;例化结果如下:现在连接电路:编译通过,进行仿真!四、仿真结果与预期效果一样,八种花型,每两种的频率发生一次改变。

8路彩灯控制器课程设计

8路彩灯控制器课程设计

8路彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解8路彩灯控制器的基本原理,掌握相关电子元件的功能和连接方式。

2. 学生能描述8路彩灯控制器的电路图,并解释其工作原理。

3. 学生了解8路彩灯控制器在现实生活中的应用,并能够举例说明。

技能目标:1. 学生能够正确使用工具和仪器进行8路彩灯控制器的组装和调试。

2. 学生通过动手实践,掌握基本的电路故障排查和解决问题的方法。

3. 学生能够运用所学知识,设计简单的8路彩灯控制程序,实现不同的灯光效果。

情感态度价值观目标:1. 培养学生对电子制作和编程的兴趣,激发创新意识和探索精神。

2. 培养学生团队协作意识,学会与他人共同解决问题,提高沟通与表达能力。

3. 增强学生对科技与生活的联系的认识,培养环保意识和责任感。

课程性质分析:本课程属于电子技术与应用领域,结合实际操作,注重培养学生的动手能力、创新思维和实际应用能力。

学生特点分析:初中年级的学生对新鲜事物充满好奇心,动手能力强,但理论知识相对薄弱,需要通过实践操作来加深理解。

教学要求:1. 理论与实践相结合,注重培养学生的实际操作能力。

2. 教学过程中注重启发式教学,引导学生主动探究、发现问题、解决问题。

3. 关注学生的个体差异,提供个性化的辅导,使每个学生都能在课程中收获成长。

二、教学内容1. 电子元件认知:介绍常用电子元件如电阻、电容、二极管、三极管等,结合教材相关章节,让学生了解其功能及在8路彩灯控制器中的作用。

2. 电路原理:分析8路彩灯控制器的电路图,讲解各部分电路的功能及相互关系,对应教材中电路分析的内容。

3. 组装与调试:指导学生按照电路图组装8路彩灯控制器,学习焊接、接线等基本技能,参照教材相关章节进行实践操作。

4. 编程与控制:介绍简单的编程方法,使学生能够通过编程实现不同的灯光效果,结合教材中编程与控制部分的内容进行教学。

5. 故障排查:教授学生如何分析并解决8路彩灯控制器在运行过程中可能出现的故障,运用教材中故障排查技巧进行实践。

8路彩灯控制器实验报告

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:赵玲2015年1 月7 日目录一、课程设计目的 (3)二、课程设计要求 (3)(一)、彩灯控制器设计要求 (3)(二)、课程设计总体要求 (3)三、课程设计内容 (3)(一)、设计原理分析 (3)(二)、器件选择 (5)(三)、具体电路连线及设计思路 (6)1、时钟控制电路 (6)2、花色控制电路 (7)3、花色演示电路 (8)4、总体电路图 (10)四、实际焊接电路板思路及过程 (11)(一)、设计思路及电路图 (11)(二)、设计及焊接过程 (11)(三)、电路板展示 (12)五、课程设计总结与体会 (13)一、课程设计目的1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。

并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。

2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。

从而学会使用常用集成数字芯片进行电路设计。

3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。

4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。

5.培养自己的动手能力,团队协作能力。

二、课程设计要求(一)、彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.(选做内容)闪烁时实现快慢两种节拍的变换。

(二)、课程设计总体要求(1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功;(3)每人独立完成一份设计报告。

三、课程设计内容(一)、设计原理分析1.基本原理如下:总体电路共分三大块。

8路移存型彩灯控制器数字逻辑课程设计报告

8路移存型彩灯控制器数字逻辑课程设计报告

目录摘要 (2)1 绪论 (3)2 总体方案设计 (4)3 单元模块设计 (5)3.1 脉冲信号产生模块 (5)3.2 计数电路模块 (7)3.3 花型控制电路模块 (8)4 系统综述,总体电路图 (10)5 分析总结,体会 (12)参考文献 (14)鸣谢 (14)附录:元器件及工具清单的引脚图和功能表 (15)摘要通过设计多路彩灯系统来加深对主要器件的理解与应用。

本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。

通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。

进一步掌握数字电路课程所学的理论知识。

熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计,了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

培养认真严谨的工作作风和实事求是的工作态度。

作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。

关键词:脉冲信号;分频电路;计数电路;花环电路;彩灯;技术摘要:1:8路移存型彩灯控制器2:要求彩灯组成两种以上的花型。

3:每种花型连续循环两次,各种花型轮流交替、第一章绪论随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想还是视觉,人们都在追求更高的美。

特别是在视觉方面,人们已经不满足于一种光,彩灯的诞生让人们的视觉对美有了更深的认识。

LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑已经成为一种时尚,我们的城市也因为这些彩灯倍显靓丽与青春,人们也倍感生活的快节奏,繁华的生活也在鞭策着人们向前努力奋进、创造更多的财富。

因为彩灯体积小,外观精致,非常适合于节日、婚庆背景布置、歌厅、音乐会、舞台、舞厅宾馆、酒楼、商厦、橱窗、店铺、家具等各种场所,彩灯得到了广泛的应用。

八路彩灯实验报告

八路彩灯实验报告

八路彩灯控制系统实验报告姓名:学院:电子工程学院学号:同作人:一实验目的1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。

2.熟悉并掌握用移位寄存器设计彩灯控制器的方法。

二设计任务与要求1.彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。

2. 彩灯演示花型为三种(花型自拟)。

3. 彩灯用发光二极管模拟。

要求:即能控制8路LED以两种节拍、三种花型连续循环演示。

三参考器件给定器件为四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干四实验要求按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察彩灯花型是否正确,如果不正确,排除故障直至正确为止。

最后一步是撰写实验报告、整理文档,对实验进行总结。

五设计说明彩灯控制器原理框图如图5-45所示。

图中,虚线以上为处理器,虚线以下是控制器。

从图5-45可以看出,编码发生器的功能是:根据花型要求按节拍送出8位状态编码信号,以便控制灯的亮灭。

其电路可以选用四位双向移位寄存器来实现。

八路灯用两片移位寄存器级联就可以实现。

缓冲驱动电路的功能是:提供彩灯所需要的工作电压和电流,隔离负载对编码发生器工作的影响。

彩灯控制器对定时器的要求不高,振荡器可采用环形振荡器或555定时器来实现。

控制电路为编码发生器提供所需要的节拍脉冲和控制信号,以同步整个系统工作。

最终效果1. 从左至右顺次渐亮,全亮后逆序渐灭;2. 中间到两边对称地逐渐渐亮,全亮后,再由中间向两边逐一熄灭;3. 八路灯分两半,从左至右顺次渐亮,全亮后全灭。

原理框图VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng isport(clk:in std_logic;en:in std_logic;clr:in std_logic;l:out std_logic_vector(7 downto 0)--control signal of the balucaideng );end balucaideng;architecture one of balucaideng issignal count_q:integer range 0 to 86;begincounter:process(clk,clr)beginif(clr='0')thencount_q<=0;elsif(clk'event and clk='1')thenif(en='1')thenif(count_q<28)thencount_q<=count_q+1;elsecount_q<=0;end if;end if;end if;end process counter;table:process(count_q)begincase count_q iswhen 0=>l<="10000000";when 1=>l<="11000000";when 2=>l<="11100000";when 3=>l<="11110000";when 4=>l<="11111000";when 5=>l<="11111100";when 6=>l<="11111110";when 7=>l<="11111111";when 8=>l<="11111110";when 9=>l<="11111100";when 10=>l<="11111000";when 11=>l<="11110000";when 12=>l<="11100000";when 13=>l<="11000000";when 14=>l<="10000000";when 15=>l<="00000000";when 16=>l<="00011000";when 17=>l<="00111100";when 18=>l<="01111110";when 19=>l<="11111111";when 20=>l<="00011000";when 21=>l<="11000011";when 22=>l<="10000001";when 24=>l<="10001000"; when 25=>l<="11001100"; when 26=>l<="11101110"; when 27=>l<="11111111"; when 28=>l<="00000000"; --0.25swhen 29=>l<="10000000"; when 30=>l<="10000000"; when 31=>l<="11000000"; when 32=>l<="11000000"; when 33=>l<="11100000"; when 34=>l<="11100000"; when 35=>l<="11110000"; when 36=>l<="11110000"; when 37=>l<="11111000"; when 38=>l<="11111000"; when 39=>l<="11111100"; when 40=>l<="11111100"; when 41=>l<="11111110"; when 42=>l<="11111110"; when 43=>l<="11111111"; when 44=>l<="11111111"; when 45=>l<="11111110"; when 46=>l<="11111110"; when 47=>l<="11111100"; when 48=>l<="11111100"; when 49=>l<="11111000"; when 50=>l<="11111000"; when 51=>l<="11110000"; when 52=>l<="11110000"; when 53=>l<="11100000"; when 54=>l<="11100000"; when 55=>l<="11000000"; when 56=>l<="11000000"; when 57=>l<="10000000"; when 58=>l<="10000000"; when 59=>l<="00000000"; when 60=>l<="00000000"; when 61=>l<="00011000"; when 62=>l<="00011000"; when 63=>l<="00111100"; when 64=>l<="00111100"; when 65=>l<="01111110";when 67=>l<="11111111";when 68=>l<="11111111";when 69=>l<="00011000";when 70=>l<="00011000";when 71=>l<="11000011";when 72=>l<="11000011";when 73=>l<="10000001";when 74=>l<="10000001";when 75=>l<="00000000";when 76=>l<="00000000";when 77=>l<="10001000";when 78=>l<="10001000";when 79=>l<="11001100";when 80=>l<="11001100";when 81=>l<="11101110";when 82=>l<="11101110";when 83=>l<="11111111";when 84=>l<="11111111";when 85=>l<="00000000";when 86=>l<="00000000";--0.5swhen others =>l<="11111111";end case;end process table;end one;仿真波形花型一花型二花型三。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录摘要 (2)1 绪论 (3)2 总体方案设计 (4)3 单元模块设计 (5)3.1 脉冲信号产生模块 (5)3.2 计数电路模块 (7)3.3 花型控制电路模块 (8)4 系统综述,总体电路图 (10)5 分析总结,体会 (12)参考文献 (14)鸣谢 (14)附录:元器件及工具清单的引脚图和功能表 (15)摘要通过设计多路彩灯系统来加深对主要器件的理解与应用。

本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。

通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。

进一步掌握数字电路课程所学的理论知识。

熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计,了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

培养认真严谨的工作作风和实事求是的工作态度。

作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。

关键词:脉冲信号;分频电路;计数电路;花环电路;彩灯;技术摘要:1:8路移存型彩灯控制器2:要求彩灯组成两种以上的花型。

3:每种花型连续循环两次,各种花型轮流交替、第一章绪论随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想还是视觉,人们都在追求更高的美。

特别是在视觉方面,人们已经不满足于一种光,彩灯的诞生让人们的视觉对美有了更深的认识。

LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑已经成为一种时尚,我们的城市也因为这些彩灯倍显靓丽与青春,人们也倍感生活的快节奏,繁华的生活也在鞭策着人们向前努力奋进、创造更多的财富。

因为彩灯体积小,外观精致,非常适合于节日、婚庆背景布置、歌厅、音乐会、舞台、舞厅宾馆、酒楼、商厦、橱窗、店铺、家具等各种场所,彩灯得到了广泛的应用。

现在市场上的彩灯五花八门,种类繁多,样式应有尽有,能够满足人们的各种需求,但是它们的原理和工作方式,却有着很大的相同点。

而本次实验就是为了让我们能充分、实际、深刻的了解彩灯的工作原理,学会连接简单的彩灯电路。

对彩灯工作所需的几种必备器件,其工作原理、布局、引言、外观美化等等,都做到了解、熟悉、掌握到应用。

希望能够通过本次实验,做到理论与实际相结合,活学活用,学以致用。

由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。

在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。

因此用集成电路来实现更多更复杂的器件功能则成为必然。

随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。

在大型晚会的现场,彩灯更是成为不可缺少的一道景观。

小型的彩灯多为采用霓虹灯电路则不能胜任。

在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。

这些灯的控制设备多为数字电路。

而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。

长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。

流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。

本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。

因此其会在越来越多的场合中使用,这使本设计具有很大的借鉴意义。

这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。

而且,它对其他类似系统的开发具有一定的借鉴意义。

目前彩灯的应用情况LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。

彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。

此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照明。

第二章总体方案的设计总体电路共分三大块。

第一块时钟信号的产生;第二块实现花型的控制及节拍控制;第三块实现实现花型的演示。

主体框图如下:针对题目设计要求,经过分析与思考,拟定以下两种总体方案:方案一:由555定时器组成的时钟脉冲发生器,其输出的脉冲作为下一级的时钟信号,计数器(74ls161N)用来累计和寄存输入脉冲个数的时序逻辑部件。

用集成移位寄存器(74ls194)接成环形结构,由于该电路置初态后,在移位脉冲作用下,输出端接花型灯,显示相应的信号。

通过互补信号,直接控制移位寄存器的s0,s1端,达到不同花型的输出。

方案二:秒信号发生器常用石英晶体振荡器和CMOS反相器实现,选用振荡频率为32768H Z的石英晶体。

因为32768=215,只要经过215分频就可以得到稳定度很高的秒信号。

分频器可选用14位二进制串行计数器CC4060,再加一级触发器二分频,就能够对石英晶体振荡器输出的32768H Z信号进行215分频。

用集成移位寄存器(74ls194)接成环形结构,由于该电路置初态后,在移位脉冲作用下,输出端接花型灯,显示相应的信号。

通过互补信号,直接控制移位寄存器的s0,s1端,达到不同花型的输出。

总体方案的选择方案一与方案二最大的不同就在,前者脉冲信号产生器只有555定时器产生,元件种类使用少,且都较熟悉易于组装电路,电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。

后者脉冲信号产生器虽然稳定,缺点则是原理相对复杂,不易理解。

基于以上原因,加上为了确保短时间内完成课程设计,我选择了连线少,易于组装和调试的方案二。

第三章单元模块设计设计所使用的元件及工具:74LS161(四位二进制同步计数器) ---------------------- 2个;74LS194(移位寄存器) ------------------------------ 2个;发光二极管--------------------------------------------- 8个;555定时器 ----------------------------------------------- 1个;电容: 1μf ----------------------------------------------1个;0.01μf ---------------------------------------------1个;电阻:604Ω------------------------------------------------------------ 1个;1.25kΩ ----------------------------------------------1个;3.1 脉冲信号产生模块由一片555加上适当电容及电阻实现。

电容取:1μf0.01μf电阻取:603Ω 1.25 kΩ电路图如下:波形产生电路由555定时器构成。

555定时器时钟的2,6脚相连并通过电容C3接地,并同时通过R2接到7脚,7脚通过R1接电源VCC,构成多谐振荡器。

同时产生方波时钟信号由3脚输出。

555构成的多谐振荡器电路工作原理如下:(a)首次充电过程刚接通电源时,设Vc=0,则Vc1=1,Vc2=0,SR锁存器被置1,即Q=1,故V0跳变为高电平。

同时T截止,Vcc经R1和R2向C充电。

(b)放电过程Vc由0开始充电,当充到Vc=2/3Vcc时,Vc1=0,Vc2=1,SR锁存器被置0,即Q=0,故V0跳变为低电平。

同时T导通,电容C经R2,通过T迅速放电,Vc开始下降。

(c)再次充电过程Vc由Vc=2/3Vcc开始放电,当放大Vc=1/3Vcc时,Vc1=1,Vc2=0,SR锁存器被置1,即Q=1,故V0跳变为高电平。

同时T截止,Vcc经R1和R2向C再次进行充电。

因此,电路在Vc=1/3Vc和Vc=2/3Vcc之间不停地进行充电和放电,在输出端产生周期的矩形波。

其波形图如下:参数计算:充电时间T1=(R1+R2)Cln2 =0.353s放电时间T2=R2*Cln2 =0.352s振荡周期:T=T1+T2=0.705s振荡频率:f=1.419Hz3.2 计数电路模块74ls161是4位二进制同步计数器(异步清零,)161为可预置的四位二进制同步计数器, 161的清除端是异步的。

当清零端CLEAR为低电平时,不管时钟端CLOCK状态如何,即可完成清除功能。

161的预置是同步的。

当置入控制器LOAD为低电平时,在CLOCK 上升沿作用下,输入端QA-QD与数据输入端A-D相一致。

对于74161,当CLOCK由低至高跳变或跳变前,如果控制端ENP、ENT为高电平,则LOAD应避免由低至高电平的跳变,而74LS161无此种限制。

74ls161的计数是同步的,靠CLOCK同时加在四个触发器上实现的。

当ENP、ENT均为高平时,在CLOCK上升沿作用下QA-QD同时变化,从而消除了异步计数器的计数尖峰。

对于54/74161,只有当CLOCK为高电平时,ENP、ENT才允许由高至低电平的跳变,而54/74LS161的 ENP、ENT跳变与CLOCK无关。

由二片161级联的模64(三种花型节拍每种显示两遍,再总体重复一遍的总节拍数)计数器。

161的级联用的是异步,并用同步置0。

当三种花型全新显示一遍后(总共64拍)161的输出变为01000100将置数0000000。

74ls161的CP脉冲来自脉冲生成电路的输出端。

电路图如下:3. 3 花型控制电路模块三种花型变换样式花型1:8路灯分两半。

从左至右渐亮,全亮后,再分两半从左至右渐灭。

循环两次;花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。

循环两次;花型3:从左至右顺次渐亮。

全亮后逆序渐灭。

循环两次。

移存器输出状态编码表节拍序号花型1 花型2 花型31 00000000 00000000 000000002 10001000 00011000 100000003 11001100 00111100 110000004 11101110 01111110 111000005 11111111 11111111 111100006 01110111 11100111 111110007 00110011 11000011 111111008 00010001 10000001 111111109 1111111110 1111111011 1111110012 1111100013 1111000014 1110000015 1100000016 10000000我的设计是每种花型完整显示两遍,所以三种花型完全显示一遍需要的总结拍数为64,即1~16显示第一个花型,17~32显示第二个花型,33~64显示第三个花型。

相关文档
最新文档