数电实验一:倒计时定时器、BCD代码转换器(实验报告)

合集下载

倒计时计时器实验报告

倒计时计时器实验报告

倒计时计时器实验报告倒计时计时器实验报告引言:倒计时计时器是一种常见的时间管理工具,广泛应用于各个领域。

本实验旨在探究倒计时计时器的原理和应用,并通过实验验证其准确性和稳定性。

实验目的:1. 理解倒计时计时器的工作原理;2. 掌握使用倒计时计时器的方法;3. 验证倒计时计时器的准确性和稳定性。

实验器材:1. 倒计时计时器;2. 秒表;3. 电池。

实验步骤:1. 将电池插入倒计时计时器;2. 设置倒计时时间为10分钟;3. 启动倒计时计时器;4. 同时启动秒表;5. 观察并记录倒计时计时器和秒表的时间变化;6. 当倒计时计时器归零时,停止秒表。

实验结果:在本次实验中,倒计时计时器设置为10分钟。

实验过程中,观察到倒计时计时器和秒表的时间变化如下所示:开始时间:倒计时计时器显示10:00,秒表显示00:00;1分钟后:倒计时计时器显示09:00,秒表显示01:00;2分钟后:倒计时计时器显示08:00,秒表显示02:00;......8分钟后:倒计时计时器显示02:00,秒表显示08:00;9分钟后:倒计时计时器显示01:00,秒表显示09:00;10分钟后:倒计时计时器归零,秒表显示10:00。

实验讨论:通过实验结果可以看出,倒计时计时器在设定时间内准确地进行倒计时,并在倒计时结束时发出提示音。

同时,秒表的计时结果与倒计时计时器显示的时间相符,验证了倒计时计时器的准确性和稳定性。

倒计时计时器的应用十分广泛。

在生活中,倒计时计时器常用于厨房烹饪、运动训练等场景,帮助人们精确掌握时间。

在工作中,倒计时计时器可以帮助人们提高工作效率,合理安排时间。

在考试中,倒计时计时器可以帮助考生掌握答题时间,提醒时间不足。

需要注意的是,在使用倒计时计时器时,应确保其准确性和稳定性。

定期检查电池电量,及时更换电池,以免影响计时器的正常工作。

此外,倒计时计时器应放置在稳定的平面上,避免受到外界干扰。

结论:本次实验验证了倒计时计时器的准确性和稳定性。

定时器计数器实验报告

定时器计数器实验报告

定时器计数器实验报告简介:定时器是一种用来产生、计数和处理时间信号的计时装置。

在数字电路中,定时器主要分为内部定时器和外部定时器两类,内部定时器是在单片机内部实现的,外部定时器则是通过外部电路实现的。

计数器则是一种用来计数的电子元件,根据不同的使用场合和要求,计数器可以分为多种类型。

在嵌入式系统中,定时器计数器应用广泛,例如在时钟、延时、计数等方面都有很大的作用。

实验目的:1. 学习定时器和计数器的基本原理及应用。

2. 熟悉定时器和计数器在单片机中的编程方法。

3. 掌握通过定时器和计数器实现延时和计数功能的方法。

实验器材:1. STM32F103C8T6开发板2. ST-LINK V2下载器3. 电脑实验内容:一、实验1:使用定时器和计数器实现延时功能1. 在Keil C中新建一个工程,并编写以下程序代码:```#include "stm32f10x.h"void TIM2_Int_Init(u16 arr,u16 psc){TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure;NVIC_InitTypeDef NVIC_InitStructure ;RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2, ENABLE);TIM_TimeBaseStructure.TIM_Period = arr;TIM_TimeBaseStructure.TIM_Prescaler=psc;TIM_TimeBaseStructure.TIM_CounterMode=TIM_CounterMode _Up;TIM_TimeBaseInit(TIM2, &TIM_TimeBaseStructure);TIM_ITConfig(TIM2,TIM_IT_Update,ENABLE );NVIC_InitStructure.NVIC_IRQChannel = TIM2_IRQn;NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1;NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1;NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; NVIC_Init(&NVIC_InitStructure);TIM_Cmd(TIM2, ENABLE);}void TIM2_IRQHandler(void){if (TIM_GetITStatus(TIM2, TIM_IT_Update) != RESET) {TIM_ClearFlag(TIM2, TIM_FLAG_Update);GPIO_WriteBit(GPIOB,GPIO_Pin_12,(BitAction)(1-GPIO_ReadOutputDataBit(GPIOB,GPIO_Pin_12)));}}int main(void){GPIO_InitTypeDef GPIO_InitStructure;RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_Init(GPIOB,&GPIO_InitStructure);TIM2_Int_Init(9999,7199);while (1);}```2. 将STM32开发板连接到电脑,并下载程序到开发板中。

数字电路实训报告-30秒倒计时

数字电路实训报告-30秒倒计时

实训报告
实训名称:数字电子技术实训
专业:电子信息工程
班级:0906班
姓名:于孟飞
学号:07090640
指导老师:程光老师
实习时间:09-10第2学期第15周
译码显示电路由74LS48和共阴极七段LED显示器组成。

管代替。

当启动开关闭合时,控制电路应封锁时钟信号CP,
示电路显示“30”字样;当启动开关断开时,计数器开始倒计数;当暂停/连续开关拨到相应位置起到暂停和继续的功能,当译码器显示“00”时同时
现短路,应为是正面视角布线注意反焊板时不要弄错位置。

把元器件全部按照布线图完成走线。

周四:(电路检测)
对已焊接完成的电路进行冷态测试,
看电路是否存在短路,检测所有IC地线
和5V供电是否接通,在检测无误后通电。

观察电路是否可以按照设计实现功能,如
果出问题则依照原理检测问题。

我的电路接通电后发现在复位后预
置数正确,为30,但在拨通连续开关后
、暂停开关问题,发现非门输入输出电位一样,检测发现短路,原因为漏锡短接到正面飞线且挡到没有发现,排除故障后发现工作正常,在检测中发现问题最好不要继续通电测试,尤其是发现IC发烫的时候,到此检测完成。

数电实验一:倒计时定时器、BCD代码转化器(设计报告)

数电实验一:倒计时定时器、BCD代码转化器(设计报告)

数电实验1设计报告实验名称:倒计时定时器 实验目的:1.掌握组合逻辑与时序逻辑电路的设计方法及调试方法2.熟练掌握常用MSI 逻辑芯片的功能及使用方法3.初步掌握Verilog HDL 数字系统设计方法4.熟悉PLD 实验箱的结构和使用及Quartus II 软件的基本操作5.掌握采用Quartus II 软件和实验箱设计实现逻辑电路的基本过程设计任务及要求:一、 倒计时定时器:用适当的中小规模集成电路设计一个定时器,实现60s 以内的定时功能,可以设置60s 以内任何时间作为倒计时的起点,将设计下载到实验箱并进行硬件功能测试。

要求:用开关或按键进行定时设置倒计时计数状态用两位数码管显示计时结束时用彩灯或声响作为提电路设计过程: 1.关于74192芯片 可实现减法计数:74HC192 两位:两片74HC1922.不进行任何的反馈,预置也不起作用的一位倒计时器U174192N A 15B 1C 10D 9UP 5QA 3QB 2QC 6QD 7DOWN4~LOAD 11~BO 13~CO12CLR 14加法计数功能,UP 为加法脉冲输入端 减法计数功能,Down 为减法脉冲输入端 减法计数到0000时,借位Bo =0 加法计数到1111时进位Co =03.计到零停止计数功能,设置启动开关,启动前停在预置值5.加入译码器完成数码管显示设计原理图:数码管动态扫描原理图:分析统图:管脚分配方案:实验题目:BCD代码转换器实验目的:1、掌握组合逻辑与时序逻辑电路的设计方法及调试方法2、熟练掌握常用MSI逻辑芯片的功能及使用方法3、初步掌握Verilog HDL数字系统设计方法4、熟悉PLD试验箱的结构和使用及Quartus 2软件的基本操作5、掌握用Quartus2软件和实验箱设计实现逻辑电路的基本方法设计任务:BCD代码转换1、8421码与5421码之间的转换2、余3码与5421之间的转换3、8421码与2421码之间的转换4、5421码与2421码之间的转换用74283和其它中小规模组合电路实现代码之间的双向可控转换,完成对逻辑设计的波形仿真、下载电路设计步骤(一)、代码转换的设计:写出真值表,找出逻辑关系,利用加法器和逻辑器件,设计对应的电路原理图1、8421码与5421码8421:0000、0001、0010、0011、0100、0101、0110、0111、1000、10015421:0000、0001、0010、0011、0100、1000、1001、1010、1011、1100方法:8421到5421后五个数加00115421到8421后五个数加1101利用开关控制器:1表示8421到5421,0表示54214到84212、余3码与5421码余3码:0011、0100、0101、0110、0111、1000、1001、1010、1011、11005421码:0000、0001、0010、0011、0100、1000、1001、1010、1011、1100方法:余3码到5421:前五个数加11015421到余3码:前五个数加0011利用开关控制器:0表示余3码到5421,1表示5421到余3码3、8421吗与2421码8421:0000、0001、0010、0011、0100、0101、0110、0111、1000、10012421:0000、0001、0010、0011、0100、1011、1100、1101、1110、1111方法:8421到2421:后五个数加01102421到8421:后五个数加1010利用开关控制器:1表示8421到2421,0表示2421到84214、5421码与2421码5421码:0000、0001、0010、0011、0100、1000、1001、1010、1011、11002421码:0000、0001、0010、0011、0100、1011、1100、1101、1110、1111方法:5421到2421:后五个数加00112421到5421:后五个数加1101利用开关控制器:1表示2421到5421,0表示5421到2421原理图、下载电路及管脚分配:1、8421码与5421码之间的转换管脚分配:2、余三与5421码之间的转换管脚分配:3、8421码与2421码管脚分配:4、5421码与2421码管脚分配:。

数电实验一:倒计时定时器、BCD代码转换器(实验报告)

数电实验一:倒计时定时器、BCD代码转换器(实验报告)

数电实验1实验报告项目一:倒计时定时器1、设计修改方案(1)加入分频网络分频采用74290芯片10分频级联,由于试验箱自身晶振提供50MHZ的时钟信号,所以需要利用分频分出500HZ用于扫描网络,分出1HZ用于计时器(2)首先用74244过7446接入8位数码管的a至g(3)实现60秒以内任意输入在十位和各位的74192计数器的指数端连接4个拨码开关,这样就可以随意输入倒计时的初始数值2、实验数据及仿真分析(1)完整电路图(附后)(2)仿真波形不接数码管译码器的数字结果:初始值为78可以看到十位数字从设定的7逐次递减为6、5、4…..,而各位在十位减1的周期内由9、8、7…逐次减为0。

符合倒计时定时器的要求。

且在计数减为00时,停止计时,指示灯由低电平转为高电平。

接译码器后数码管段选模拟波形:初始值78根据7段数码管的亮灭规律也可以看出,个位十位轮流显示,十位1、2、3号管亮显示为数字“7”,各位7段数码管全亮显示数字“8”,随后十位“7”在一段时间内不变,各位从数字“7”显示到数字“0(除7以外其他段数码管亮)”。

说明两位数码管显示正确。

(3)硬件测试管脚分配如下:由于拨码开关一共8个,置数开关S1,暂停开关S2占去两个,就只剩下6个拨码开关用来控制设置初始值。

由于要求60S以内,所以十位最高位和次高位默认接地,这样十位最多只能到6,各位4位个再用4个拨码开关进行控制,既可以完成60S以内任意数字设为初始计数值。

另外将计数停止口led1接13管脚,若计数结束,则红色LED灯全亮。

硬件测试:将电路按上述管脚分配拷入试验箱,拨码开关全关闭时,最左边两位数码管亮并显示两个数字“0”。

十位两位拨码开关拨为“10”,十位显示为4,各位的4位拨码开关拨为“0101”,各位显示数字“5”,此时计数器显示初始值为“45”,将S1分配的置数开关(右数第一个)由0拨为1,计时器从45变为44、43、42、41、40、39、38…..倒计时正常,将S2分配的暂停开关(右数第二个)由0拨为1,计时器停止到24不动,拨回后则继续由24开始倒计时。

数电实验报告

数电实验报告

数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。

实验仪器和设备:1. 示波器。

2. 信号发生器。

3. 逻辑分析仪。

4. 电源。

5. 万用表。

6. 示教板。

7. 电路元件。

实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。

数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。

本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。

实验内容:1. 实验一,基本逻辑门的实验。

在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。

2. 实验二,时序逻辑电路的实验。

利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。

3. 实验三,逻辑分析仪的应用。

利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。

实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。

2. 依次进行各个实验内容的操作,记录实验数据和观察现象。

3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。

实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。

在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。

逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。

实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。

在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。

这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。

数码管倒计时计时器实验结论

数码管倒计时计时器实验结论

数码管倒计时计时器实验结论1. 引言数码管倒计时计时器是一种常见的电子设备,用于倒计时和计时的功能。

本次实验旨在探究数码管倒计时计时器的工作原理和应用场景,并通过实际操作验证其性能和准确性。

2. 实验目的本次实验的主要目的是研究数码管倒计时计时器的原理、结构和工作方式,并通过实际操作来验证其在倒计时和计时方面的准确性和稳定性。

3. 实验材料与方法3.1 实验材料•数码管倒计时计时器设备•电源适配器•手动开关3.2 实验方法1.将电源适配器连接到数码管倒计时计时器设备上,并将适配器插入电源插座。

2.使用手动开关控制数码管倒计时/计时模式的切换。

3.设置所需时间或开始倒计/正计过程。

4.观察数码管显示并记录结果。

4. 实验结果与分析4.1 数码管显示准确性测试为了验证数码管倒计时/正记时间的准确性,我们进行了一系列的测试。

4.1.1 倒计时功能测试1.设置倒计时时间为5分钟。

2.启动倒计时功能。

3.观察数码管显示,并使用标准时间工具验证倒计时的准确性。

4.记录结果。

结果表明,倒计时功能在5分钟内准确无误地进行了倒数。

4.1.2 正记时间功能测试1.设置正记时间为10分钟。

2.启动正记时间功能。

3.观察数码管显示,并使用标准时间工具验证正记时间的准确性。

4.记录结果。

结果表明,正记时间功能在10分钟内准确无误地进行了计时。

4.2 数码管显示稳定性测试为了验证数码管显示的稳定性,我们进行了长时间持续运行测试。

1.将倒计时设置为30秒。

2.启动倒计时功能并观察数码管显示。

3.让实验设备持续运行30分钟,并记录每隔5分钟的数码管显示结果。

经过长时间持续运行测试,数码管显示保持稳定且无明显闪烁或错误。

这证明了该设备在长时间使用过程中能够保持稳定的性能和准确的显示效果。

5. 结论通过本次实验,我们得出了以下结论:1.数码管倒计时计时器能够准确地进行倒计时和正记时间的功能。

2.数码管显示在设置的时间范围内准确无误,并通过标准时间工具进行验证。

方便预置的倒计时数显定时器实验报告.

方便预置的倒计时数显定时器实验报告.

一、内容提要在人们日常生活中,或大众关心的某项活动中,或电器使用过程中,常常需要一个倒计时计时器,用以醒目的显示要做的事件所剩下的时间,提醒人们做好准备。

报告主要讲述了方便预置倒计时器工作原理以及其各个组成部分,记述了我们在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。

二、设计要求及主要指标1.设置开始键。

按动开始键倒计时开始,定时结束后声响提示。

2.设置预置键。

定时时间可以在范围60妙任意预置,预置后的定时可重复使用3.数字式显示剩余时间。

4.定时时间到自动进入预置状态,倒计时时预置键无效。

三、方案选择及电路工作原理1)设计方案电路的结构框图定时结束信号两位十进制计数器2)基本原理倒计时数显式定时器电路由多谐振荡器—输出脉冲信号源、预置计数器(加法)、倒计时计数(减法)、D触发器、数码显示管和声响提示电路构成。

四、单元电路设计计算1)多谐振荡器—输出脉冲信号源时基电路要求送出频率为1s 的方波脉冲。

选用555定时器完成上述功能图1. 555的管脚图和功能表12 3 456 7 8 OUT555DIS GND Vcc COTH TRR D(a) 管脚图(b )功能表TH 1 R DT 01 OUT 01导通 >V CO 或 V CC32 TR> V CO 或 V CC31 21 不变<V CO 或 V CC32 > V CO 或 V CC31 21 不变⨯ ⨯⨯< V CO 或 V CC31 21 01导通 截止由定时器555内部结构得知,2个比较器出发输入端6和2是接在一个端点上并跟电容C 连接,这个端点上的电容电压c u 变动,会同时导致两个比较器的输出电平改变,使RS 触发器的输出改变。

电源CC U 经1R 和2R 给电容C 充电。

当c u 上升到32CC U 时,6U =2U =32CC U , 输出电压o u 为低电平,放电管T 导通,电容C 经2R 、放电端⑦放电,c u 开始下降,当下降到31CC U 时,6U =2U =31CC U ,输出电压o u 为高电平。

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数码管倒计时计时器实验结论

数码管倒计时计时器实验结论

数码管倒计时计时器实验结论一、引言数码管倒计时计时器是一种常见的计时器,广泛应用于各种领域。

本实验通过搭建数码管倒计时计时器电路,对其性能进行测试和分析,得出了以下结论。

二、实验步骤1. 搭建数码管倒计时计时器电路,包括数码管显示模块、计时器模块、控制模块等。

2. 对数码管倒计时计时器进行功能测试,包括设置倒计时时间、启动倒计时、暂停倒计时、重置倒计时等操作。

3. 测试数码管倒计时计时器的准确度和稳定性,比较设定的倒计时时间与实际显示时间的差异。

4. 测试数码管倒计时计时器的响应速度,观察在不同倒计时时间下,数码管显示是否及时更新。

5. 测试数码管倒计时计时器的可靠性,包括在倒计时过程中是否会出现故障、误差累积等情况。

三、实验结果与结论1. 数码管倒计时计时器能够准确地显示设定的倒计时时间,并在倒计时结束时发出提醒。

2. 数码管倒计时计时器的显示精度较高,误差范围在可接受范围内。

3. 数码管倒计时计时器的响应速度较快,数码管显示及时更新。

4. 数码管倒计时计时器的稳定性较好,长时间使用不会出现故障或误差累积。

5. 数码管倒计时计时器的操作简单方便,易于控制和调整倒计时时间。

四、实验分析与讨论1. 数码管倒计时计时器的准确度受到电路元件的精度和稳定性的影响,选用高质量的元件可以提高准确度。

2. 数码管倒计时计时器的响应速度受到控制模块和显示模块的性能限制,可以通过优化电路设计和选用高性能元件来提高响应速度。

3. 数码管倒计时计时器的稳定性受到电路连接的牢固性和元件的可靠性影响,合理布局和固定元件可以提高稳定性。

4. 数码管倒计时计时器的操作简单方便,但在实际应用中可能需要更多的功能,如倒计时结束后的自动重启、倒计时时间的保存等,可以根据实际需求进行改进和扩展。

五、实验总结数码管倒计时计时器是一种常见且实用的计时器,本实验通过搭建电路并进行测试分析,得出了该计时器的性能结论。

数码管倒计时计时器具有准确度高、响应速度快、稳定性好等优点,操作简单方便。

倒计时定时器电路设计

倒计时定时器电路设计

倒计时定时器电路设计倒计时定时器电路是一种常见的电子设计,用于实现指定的时间倒数计时功能。

该电路可以广泛应用于各种需要定时操作的场景,如倒数计时器、定时器启动控制、设备关机等。

本文将介绍倒计时定时器电路的设计原理及实现方法。

倒计时定时器电路主要由以下几个部分组成:时钟发生器、计数器、译码器和显示器。

时钟发生器产生稳定的时钟信号,计数器用于记录经过的时钟周期数,译码器将计数器的输出转换为对应的数字信号,显示器将数字信号转换为可视的倒计时显示。

时钟发生器是倒计时定时器电路的核心组件之一、它负责产生稳定的时钟信号,并通过一个特定的频率来控制倒计时的时间精度。

时钟发生器一般采用定时集成电路(如555定时器)来实现,通过改变RC电路的电阻和电容值可以调整时钟信号的频率。

计数器是用于记录经过的时钟周期数的电子元件。

在倒计时定时器电路中,计数器以二进制方式计数,并根据时钟信号的输入递增或递减计数值。

计数器的位数决定了倒计时定时器电路的最大计时范围。

译码器是将计数器的输出转换为对应的数字信号的组件。

以BCD编码为例,译码器将4位二进制数字转换为对应的BCD码输出。

通过将译码器的输出连接至显示器,可以将数字信号转换为可视的倒计时显示。

显示器是倒计时定时器电路的输出设备,用于展示倒计时的时间。

常见的显示器包括数码管显示器(7段数码管、16段数码管等)和液晶显示屏。

通过控制译码器的输入信号,可以实现显示不同的数字。

1.确定倒计时的时间范围和精度要求,以及显示器的类型。

2.根据时间范围和精度要求选择时钟发生器的频率,计算出时钟信号的周期。

3.根据时钟信号的周期确定计数器的位数,确保计数器能够满足倒计时的范围。

4.根据计数器的位数选择合适的译码器,确保译码器能够正确解码计数器的输出。

5.连接时钟发生器、计数器、译码器和显示器,按照设计要求进行布线和电气连结。

6.编写控制程序,实现倒计时的逻辑控制。

7.进行仿真测试和调试,确保倒计时定时器电路的正常工作。

数字电子技术实训论文(倒计时)

数字电子技术实训论文(倒计时)

数字电子技术(论文)说明书题目:系别:机电工程系专业:机电一体化学生姓名:学号:指导教师:职称:高级工程师题目类型:理论研究软件开发2010 年7 月9 日摘要本次实训,要求做的是一个6位的“时”,“分”,“秒”的数字显示的倒计时装置。

但是由于时间,以及一些其他的原因,原本要做成的6位数码显示的倒计时装置,只做了2位,但是,在仿真系统中,我们也做成了3位的倒计时器,并附有图在论文中。

本系统主要由555多谐振荡器、计数器、译码器、数码管,采用74LS系列(双列直插式)中小规模集成芯片组成,文中会介绍各种芯片及电路的原理。

本次实训最后做成的电路板实现99秒2位倒计时,还带有1个复位键,当复位键按下时,倒计时器回到99秒重新倒计时。

关键词:芯片;74LS192;74LS48;555定时器;数码管;译码;计数;AbstractThis practice is a requirement, the six "time", and "points", "second" digital display the countdown device. But because of the time, and some other reasons, which made the countdown six digital display device, made only two, but, in the simulation system, we also make a counter-down and the attached figure in the thesis. This system mainlyconsists of 555 more harmonic oscillator, counter, decoder, digital tube, using 74LS series (double row inline) medium scale integration chip will introduce various component, the principle and the circuit chip. The practice of last two seconds realization circuit 99, still contain a countdown to reset when reset button press, counter-down back to 99 seconds to countdown.Key words:chip;74LS192;74LS48 ;555 timer equipment ;digital tube;decode;count;目录引言 (4)1 电路原理设计 (5)1.1 设计思路(小四号黑体) (5)1.2 设计原理图(小四号黑体) (5)2 各个电路设计分析 (6)2.1 多谐振荡电路 (6)2.2 设计原理图 (7)2.3 译码电路 (9)2.4数码管结构及原理 (11)3 仿真电路 (12)4 电路总设计图 (13)4.1 计时部分 (13)4.2 译码部分及数码管显示部分 (14)4.3 短路总图 (14)4.4 PCB电路板 (15)5成品及调试 (15)5.1 成品 (15)5.2 调试 (16)6结论 (16)7实训心得体会 (16)谢辞 (17)参考文献 (18)附录 (19)引言随着社会科学技术的迅速发展,人们对时间的把握要求越来越高,也有很多地方用到了倒计时的电路。

定时器实验报告模板

定时器实验报告模板

定时器实验报告模板实验名称:定时器实验实验目的:通过实验掌握定时器的使用方法,了解定时器在电子电路中的应用。

实验原理:定时器是一种能够按照预定时间间隔或时间长度自动产生稳定的方波输出信号的集成电路。

常用的定时器有NE555、NE556等。

定时器的输入引脚有触发引脚(Trig)、复位引脚(Rst)、控制电位引脚(Ctrl)、电源引脚(Vcc)和地引脚(Gnd)。

输出引脚一般为OUT。

实验器材:定时器集成电路NE555,电阻、电容、LED等元件,面包板、电压源、示波器等仪器设备。

实验步骤:1.将NE555定时器插入面包板中,并连接电源、地线,调整电压源的输出电压为5V。

2. 连接触发引脚(Trig)和复位引脚(Rst)到电源正极,并连接一个电阻和一个电容,将电容的另一端连接到地线。

3.将一个LED通过电阻连接到输出引脚(OUT),将另一端接地。

4.打开电源,观察LED的状态。

5.调整电阻和电容的数值,观察LED闪烁的频率和亮度的变化。

6.使用示波器观察输出信号的波形。

实验结果与分析:根据实验步骤进行实验,观察到LED在定时器工作时闪烁,当电阻和电容的数值改变时,闪烁的频率和亮度也会相应地发生变化。

通过示波器观察输出信号的波形,可以发现定时器输出的是一个方波信号,其频率和占空比与电阻和电容的数值有关。

实验结论:通过本次实验,我们掌握了定时器的使用方法,了解了定时器在电子电路中的应用。

定时器可以根据预定时间间隔或时间长度自动产生稳定的方波输出信号,可以用于计时、频率分频、脉冲调制等场合。

在实际电路设计中,我们可以根据需求选择合适的定时器,并根据具体的设计要求来确定电阻和电容的数值,以实现所需的定时功能。

定时器实验报告

定时器实验报告

定时器实验报告引言定时器是现代电子设备中常见的一种功能模块,它可以提供精确的时间计量和控制。

本实验旨在通过对定时器的使用和调试,深入了解定时器的工作原理和应用。

一、实验目的本次实验的主要目的有三点:1. 了解定时器的基本原理和功能;2. 学会使用定时器进行时间计量和控制;3. 掌握定时器在电子设备中的应用。

二、实验装置和器材1. 单片机开发板;2. 电源;3. 连接线。

三、实验原理定时器是一种基于震荡电路和计数器的电子模块,通过内部的晶振或外接的时钟信号驱动,能够按照设置的时间间隔产生特定的脉冲或控制信号。

四、实验操作步骤1. 将开发板上的定时器模块与电源和单片机连接好;2. 在代码中设置定时器的工作模式和计数器初值;3. 运行程序,观察定时器是否正常工作;4. 尝试调整定时器的工作参数和配置,观察其对输出信号的影响。

五、实验结果与分析在本次实验中,经过不断的尝试和调试,我们成功实现了以下几个功能:1. 使用定时器生成1秒的周期信号,并控制LED灯的闪烁。

通过观察LED灯的亮灭状态,我们可以直观地判断定时器的工作是否正常。

2. 设定定时器的计数器初值为10,并在每次触发计数器溢出时输出一个特定的脉冲信号。

通过示波器测量输出信号的时间间隔,我们可以验证定时器的精度和稳定性。

3. 调整定时器的工作参数,如工作模式、计数器分频倍数等,观察对输出信号的影响。

我们发现不同的参数设置会导致输出信号的频率、占空比等发生变化,进一步验证了定时器的灵活性和可调性。

六、实验总结通过本次实验,我们对定时器的基本原理和使用方法有了更深入的了解。

定时器作为一种常用的电子模块,广泛应用于各种电子设备中,如计时器、时钟、PWM信号发生器等。

掌握定时器的使用技巧,可以为我们在电子设备的设计与开发中提供有力的支持。

实验中我们发现,定时器的性能主要受到两个因素的影响,即晶振或时钟信号的精度和定时器的配置参数。

在实际应用中,我们需要根据具体需求选择合适的硬件和适当的软件设置,以保证定时器的稳定性和精确性。

倒计时计数报警器实习报告

倒计时计数报警器实习报告

实习报告一、实习背景与目的随着科技的不断发展,电子技术在各个领域得到了广泛的应用。

为了提高自己的实际操作能力和理论知识的应用能力,我参加了本次倒计时计数报警器的实习项目。

本次实习的主要目的是学习和掌握数字电子技术在实际电路设计中的应用,培养自己的动手能力和团队协作能力。

二、实习内容与过程在实习过程中,我们首先进行了理论学习和方案设计。

通过对倒计时计数报警器的工作原理和功能需求进行分析,我们确定了电路的设计方案。

然后,我们分工合作,完成了电路图的绘制、元器件的选型和采购、电路的搭建和调试等工作。

在电路设计中,我们采用了CD4510和CD4026等芯片来实现倒计时计数功能,使用了蜂鸣器作为报警器,通过按键控制计数和报警。

在电路搭建过程中,我们遇到了一些问题,如元器件的焊接错误、电路连接不当等,但通过查阅资料和团队讨论,我们逐一解决了这些问题。

在电路调试阶段,我们通过修改程序和调整电路参数,实现了倒计时计数和报警功能。

同时,我们对电路进行了测试,验证了其稳定性和可靠性。

在实习过程中,我们还完成了实习报告的撰写,对实习过程进行了总结和反思。

三、实习成果与收获通过本次实习,我掌握了倒计时计数报警器的设计原理和制作流程,提高了自己的实际操作能力和理论知识的应用能力。

同时,我学会了如何查阅资料、分析问题、解决问题,培养了团队协作和沟通能力。

此外,我还深刻体会到理论与实践相结合的重要性。

在实习过程中,我们遇到了很多问题,但通过理论知识的支持,我们逐一解决了这些问题。

这让我认识到,理论知识不仅是实践的基础,也是解决实际问题的关键。

四、实习总结与展望本次实习让我收获颇丰,不仅提高了自己的专业技能,也培养了团队协作和沟通能力。

在今后的学习和工作中,我将继续努力,将所学知识运用到实际中,为我国电子技术的发展贡献自己的力量。

同时,我也认识到自己在实习过程中还存在一些不足,如电路设计能力和问题解决能力有待提高。

在今后的学习和实践中,我将不断努力,充实自己,提高自己的综合素质。

数字电子计时器实训报告

数字电子计时器实训报告

一、实训目的1. 掌握数字电子计时器的基本原理和设计方法;2. 熟悉数字电路中常用元器件的性能和应用;3. 提高动手实践能力,培养团队协作精神。

二、实训环境1. 实训设备:数字电路实验箱、示波器、信号发生器、万用表等;2. 实训软件:Proteus仿真软件、Keil编译器等;3. 实训时间:2周。

三、实训原理1. 数字电子计时器主要由时钟信号源、计数器、译码显示电路等组成;2. 时钟信号源提供稳定、精确的时钟信号,通常采用石英晶体振荡器;3. 计数器对时钟信号进行计数,实现计时功能;4. 译码显示电路将计数器的输出信号转换为可显示的数字,通常采用LED数码管或LCD液晶显示屏。

四、实训过程1. 设计与仿真(1)根据设计要求,确定计时器的工作原理和电路结构;(2)在Proteus仿真软件中搭建电路,包括时钟信号源、计数器、译码显示电路等;(3)对电路进行仿真测试,确保电路功能正常。

2. 电路搭建与调试(1)根据仿真电路,在数字电路实验箱上搭建实际电路;(2)连接电源,检查电路连接是否正确;(3)使用示波器观察时钟信号,确保时钟信号稳定;(4)使用万用表测量计数器输出,检查计数器是否正常工作;(5)调整电路参数,确保译码显示电路显示正确。

3. 功能测试与优化(1)按设计要求进行功能测试,包括计时、清零、复位等功能;(2)检查计时精度,确保计时器准确计时;(3)优化电路,提高计时器的稳定性和可靠性。

五、实训结果1. 成功搭建数字电子计时器电路,实现计时功能;2. 计时器计时精度较高,满足设计要求;3. 电路稳定可靠,抗干扰能力强。

六、实训总结1. 通过本次实训,掌握了数字电子计时器的基本原理和设计方法;2. 熟悉了数字电路中常用元器件的性能和应用;3. 提高了动手实践能力,培养了团队协作精神;4. 发现了在设计过程中存在的问题,并进行了优化,提高了电路性能。

本次实训使我对数字电子计时器有了更深入的了解,为今后从事相关领域的工作奠定了基础。

报时电路实验报告

报时电路实验报告

报时电路实验报告本次实验设计了一种报时电路。

首先,通过RC电路实现了一个周期为1秒的电子时钟信号发生器;然后,通过计数器电路和BCD转换器将电子时钟信号转换为BCD码,并输出到数码管显示出来;最后,通过译码器将BCD码转换为报时信号,通过扬声器输出。

实验结果表明,报时电路能准确、可靠地按秒报时。

关键词:报时电路,RC电路,计数器,BCD转换器,数码管,译码器,扬声器。

一、引言报时电路是一种常见的电路装置,广泛应用于智能音箱、闹钟、计时器等领域。

本实验旨在设计一个简单的报时电路,实现准确、可靠地按秒报时。

二、实验原理1. RC电路RC电路是由电阻和电容组成的一种基本电路。

当RC电路的电容充放电时间与电阻的阻值相等时,电容电压的波形呈指数上升或指数下降的形态。

利用这种特性可以实现定时信号的产生。

2. 计数器电路计数器电路是一种能够实现计数功能的电路。

常见的计数器电路有二进制计数器、十进制计数器等。

在本实验中,使用二进制计数器电路进行计数。

3. BCD转换器BCD转换器是一种能够将二进制数转换为BCD码的电路。

BCD码是一种用四位二进制数表示十进制数的编码方式。

4. 数码管数码管是一种能够显示数字和字符的电子元件。

在本实验中,使用数码管显示报时信息。

5. 译码器译码器是一种能够将编码信号转换为输出信号的电路。

在本实验中,使用译码器将BCD码转换为报时信号。

三、实验步骤1. 搭建RC电路,通过调节电阻和电容的值,使得RC电路的充放电时间为1秒,从而产生一个周期为1秒的电子时钟信号。

2. 搭建计数器电路,使用二进制计数器进行计数,并将计数值输出到BCD转换器。

3. 搭建BCD转换器电路,将计数器输出的二进制码转换为BCD码,并输出到数码管进行显示。

4. 搭建译码器电路,将BCD码转换为报时信号,并通过扬声器输出。

5. 连接电源和信号线,开启电源。

6. 观察数码管显示的报时信息,并听取扬声器输出的报时声音。

倒计时器实训报告

倒计时器实训报告

一、实训目的本次实训旨在使学生掌握倒计时器的基本原理、电路设计方法及制作过程,提高学生的动手能力、创新能力和团队合作精神。

通过本次实训,学生能够:1. 理解倒计时器的工作原理及电路组成;2. 掌握倒计时器电路的设计与制作方法;3. 学会使用常用电子元件及电路调试技巧;4. 培养学生的团队协作精神和创新意识。

二、实训内容1. 倒计时器原理及电路分析2. 倒计时器电路设计与制作3. 倒计时器调试与测试4. 倒计时器性能优化三、实训过程1. 倒计时器原理及电路分析倒计时器是一种计时装置,用于实现预定时间的倒计时功能。

其工作原理如下:(1)利用计数器实现倒计时功能,通常采用十进制计数器(如74LS160);(2)利用555定时器产生1Hz的方波信号,作为计数器的时钟信号;(3)通过按键输入预定时间,实现倒计时;(4)当倒计时结束时,发出报警信号。

2. 倒计时器电路设计与制作(1)电路设计根据倒计时器原理,设计如下电路:- 74LS160计数器作为核心元件,实现倒计时功能;- 555定时器产生1Hz方波信号;- 按键输入预定时间;- 报警电路实现倒计时结束报警。

(2)电路制作按照电路设计图,制作如下电路:- 将74LS160、555定时器、按键、报警电路等元件焊接在电路板上;- 连接电路板上的元件,确保连接正确;- 进行电路调试,检查电路是否正常工作。

3. 倒计时器调试与测试(1)调试- 检查电路连接是否正确,确保无短路、断路现象;- 对电路进行调试,观察倒计时功能是否正常;- 检查报警电路是否在倒计时结束时发出报警信号。

(2)测试- 测试倒计时器在不同预定时间下的倒计时功能;- 测试报警电路是否在倒计时结束时发出报警信号;- 测试电路的抗干扰性能。

4. 倒计时器性能优化根据测试结果,对倒计时器进行以下优化:- 调整电路参数,提高倒计时精度;- 优化报警电路,增强报警效果;- 改进电路设计,提高电路可靠性。

数电计时电路实训报告

数电计时电路实训报告

一、实训目的本次实训旨在通过实际操作,让学生掌握数字电路的基本原理和设计方法,培养学生运用数字电路实现计时功能的实际操作能力。

通过实训,使学生能够熟悉数字电路的组成、工作原理和调试方法,提高学生的动手能力和分析问题、解决问题的能力。

二、实训内容1. 认识和熟悉数字电路的基本元件,如触发器、计数器、译码器、显示器等。

2. 设计并搭建一个简单的计时电路,实现时、分、秒的计时功能。

3. 调试电路,确保计时精度和显示功能正常。

4. 分析和解决实训过程中遇到的问题。

三、实训步骤1. 熟悉数字电路基本元件(1)了解触发器、计数器、译码器、显示器等元件的功能、原理和特点。

(2)掌握这些元件的电路符号、引脚功能和连接方法。

2. 设计计时电路(1)根据计时要求,确定所需元件的种类和数量。

(2)根据元件的连接方法和功能,设计计时电路的原理图。

(3)绘制计时电路的PCB布线图。

3. 搭建计时电路(1)根据原理图和PCB布线图,准备所需元件和工具。

(2)按照原理图和PCB布线图,将元件焊接在PCB板上。

(3)连接电源、信号线和显示器等外围设备。

4. 调试计时电路(1)检查电路连接是否正确,确保没有短路、断路等问题。

(2)调整元件参数,使计时电路正常工作。

(3)观察显示器显示的时、分、秒是否准确,若不准确,则检查电路或元件问题。

5. 分析和解决实训过程中遇到的问题(1)分析计时电路不正常工作的原因,如元件损坏、电路连接错误等。

(2)根据分析结果,采取相应的措施解决问题。

四、实训结果通过本次实训,我掌握了数字电路的基本原理和设计方法,成功搭建了一个简单的计时电路。

计时电路能正常工作,显示时、分、秒,实现了实训目的。

五、实训心得1. 通过本次实训,我深刻体会到理论知识与实践操作相结合的重要性。

只有将所学知识应用于实际操作,才能真正掌握和运用。

2. 在实训过程中,我学会了如何分析问题、解决问题,提高了自己的动手能力和分析能力。

数字电路技术基础-倒计时器实验

数字电路技术基础-倒计时器实验

倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。

通过key1控制切换计数与暂停状态。

当key1按下时,开始倒计时,再次按下,暂停计时。

通过key2控制置初值。

暂停状态下,当key2按下时,回到初始状态,数码管显示2500。

设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。

提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。

使用课前预习的状态机模块,控制25分钟倒计时模块。

调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。

1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数电实验1实验报告
项目一:倒计时定时器
1、设计修改方案
(1)加入分频网络
分频采用74290芯片10分频级联,由于试验箱自身晶振提供50MHZ的时钟信号,所以需要利用分频分出500HZ用于扫描网络,分出1HZ用于计时器
(2)
首先用74244
过7446
接入8位数码管的a至g
(3)实现60秒以内任意输入
在十位和各位的74192计数器的指数端连接4个拨码开关,这样就可以随意输入倒计时的初始数值
2、实验数据及仿真分析
(1)完整电路图(附后)
(2)仿真波形
不接数码管译码器的数字结果:初始值为78
可以看到十位数字从设定的7逐次递减为6、5、4…..,而各位在十位减1的周期内由9、8、7…逐次减为0。

符合倒计时定时器的要求。

且在计数减为00时,停止计时,指示灯由低电平转为高电平。

接译码器后数码管段选模拟波形:初始值78
根据7段数码管的亮灭规律也可以看出,个位十位轮流显示,十位1、2、3号管亮显示为数字“7”,各位7段数码管全亮显示数字“8”,随后十位“7”在一段时间内不变,各位从数字“7”显示到数字“0(除7以外其他段数码管亮)”。

说明两位数码管显示正确。

(3)硬件测试
管脚分配如下:
由于拨码开关一共8个,置数开关S1,暂停开关S2占去两个,就只剩下6个拨码开关用来控制设置初始值。

由于要求60S以内,所以十位最高位和次高位默认接地,这样十位最多只能到6,各位4位个再用4个拨码开关进行控制,既可以完成60S以内任意数字设为初始计数值。

另外将计数停止口led1接13管脚,若计数结束,则红色LED灯全亮。

硬件测试:
将电路按上述管脚分配拷入试验箱,拨码开关全关闭时,最左边两位数码管亮并显示两个数字“0”。

十位两位拨码开关拨为“10”,十位显示为4,各位的4位拨码开关拨为“0101”,各位显示数字“5”,此时计数器显示初始值为“45”,将S1分配的置数开关(右数第一个)由0拨为1,计时器从45变为44、43、42、41、40、39、38…..倒计时正常,将S2分配的暂停开关(右数第二个)由0拨为1,计时器停止到24不动,拨回后则继续由24开始倒计时。

当倒计时计数器最终由6、5、4…变为0时,数码管两位00保持不变,计时停止,同时彩灯矩阵中所有红色LED亮起,提示倒计时结束。

此时将置数开关拨回关(即0状态),从新用拨码开关设定新的初始值,数码管则显示新的初始值(27),开始计时后同样从27开始记到0为止,红色LED灯亮,停止计数。

由此可以验证,本项目“倒计时定时器”严格满足题目要求,功能正确~
3、故障分析及解决方案
本项目在设计和测试过程中经理到了3次大的改动,正如前述的修改方案,其中后
次改动分别由于2个比较重要的问题。

由于在设计时并没有注意是数码管的共阳极问题,所有各位和十位分别接入两个7448之后引出了14根数码管输出,而实际共阳极数码管只有8段输入。

但这个故障较容易解决,换用PPT上老师提供的数码管扫描电路后,问题就解决了。

第二个大的故障在于任意设置初始值时,置入的数跟实际拨码开关的表示不相同,后经过检查,是因为在分配管脚的时候几个拨码开关的管脚接串了,中间少接了一个。

另外,还有一个小插曲,就是我所用的试验箱,最右边两个数码管貌似坏了,引用后也亮,开始还以为电路不对,之后试了试发现用最左边两个数码管就可以了~
项目二:BCB代码转换器
1、设计修改方案
电路没有太大改动,就是之前的数码管动态扫描电路出来是共阴极的,而实际试验箱是共阳极的,只要在所有7448译码器的输出后面加一个非门,数字就能正常显示了。

2、实验数据及仿真分析
波形仿真:
(1)8421与5421之间的转换
K表示转换开关,低电平是5421转为8421,高电平时8421转为5421,s0到s3是四位拨码开关,分别表示4为5421(8421)代码的输入设定值,a至g分别是数码管的7段,显示转换后的十进制数。

(2)余三码与5421码
同上,K表示转换开关,低电平是余三码转为5421,高电平时5421转为余三码,s0到s3是四位拨码开关,分别表示4为余三码(5421)代码的输入设定值,a至g分别是数码管的7段,显示转换后的十进制数。

(3)8421码与2421码之间的转换
K表示转换开关,低电平是2421转为8421,高电平时8421转为2421,s0到s3是四位拨码开关,分别表示4为2421(8421)代码的输入设定值,a至g 分别是数码管的7段,显示转换后的十进制数。

(4)5421码与2421码之间的转换
K表示转换开关,低电平是2421转为5421,高电平时5421转为2421,s0到s3是四位拨码开关,分别表示4为2421(5421)代码的输入设定值,a至g 分别是数码管的7段,显示转换后的十进制数。

由上面4个仿真波形可以看出8421、5421、2421以及余三码之间的转换正确。

硬件测试:
管脚分配后拷入试验箱,当作为5421码输入1000时,数码管显示数字5,将控制开关由0置1后,数码管显示8,即1000变为8421输入后用十进制显示。

当作为2421码输入1111时,数码管显示8,即将该2421码转变为8421后用十进制表示。

同理,将拨码开关设为余三码输入0011,数码管显示数字0,即将余三码转化为8421后用十进制显示。

经过硬件测试,该项目基本完成8421、5421、2421、余三码之间的转换,并统一用十进制经数码管表示。

满足题目要求,功能完整。

3、故障分析及解决方案
BCD代码转换题目相比其他选题较为简单,从电路设计到仿真模拟还算比较顺利。

由于是第一次接触quartusII操作比较生疏导致进度比较缓慢,但整体设计思路比较清晰。

期间遇到的一个问题就是数码管显示不正确,这是因为数码管译码器输出默认为共阴极,实际试验箱为共阳极,所以在所有输出后面加了一个非门,显示就正常了~
心得体会。

相关文档
最新文档