Low temperature field-effect in crystalline organic material

合集下载

材料学英语

材料学英语

α-铁素体K). 见气体1)得到的%EL)或和 radiatio通用。

4×106Pa·s13Pa·s(3×1014)。

类能级一般由杂质原子引入。

化极化。

成线性聚合物大分子。

这些合金元素的加入通常可以改善合金的力学性能和耐蚀性。

加工的金属发生再结晶而软化的热处理。

粘度约为1012Pa·s(1013P)。

致磁矩完全消失,此种现象称为反铁磁现象。

宏观表现为固体没有净磁矩。

时间无关),反应程度由温度决定。

(以一个原子为基础)或者一摩尔原子的质量。

计算得到的浓度比值。

程称为奥氏体化。

的组织由α-铁素体和弥散的渗碳体组成。

带隙能范围内的能量。

顶点和体心上。

道旋转。

2×10-5eV/atom·K). 见气体常数。

的结合能。

生的。

它是生产水泥的一个步骤。

材料,其基体最初为聚合树枝,经过热解形成了碳。

性和耐疲劳性。

数商业铸铁的碳含量为3.0%~4.5%,硅含量为1%~3%。

源,向被保护的结构物提供电子来对其进行保护。

水泥和水在一起会发生水合反应。

一般用来改善材料的断裂韧性。

由及其坚硬的陶瓷(如,WC,TiC),和塑性良好的金属(Co或Ni)结合而成。

微晶面上分子通过链摺叠方式进行排列。

法之一(另一个为埃左试验)。

此试验通过受力的摆向标准试样施加冲击作用。

侧群可能位于链的一侧或直接位于旋转180º的反向位置。

顺式结构中相同摩尔数的两个侧群位于同一侧(如顺式中包含至少两类分子,通常生成小分子量的副产物,例如水。

那些导带中处于激发态的电子。

力的度量。

通常用来说明初始为奥氏体化材料以一定的速率连续冷却过程中何时发生转变,此外,此图可用来预高温下才十分重要。

积层下的一类腐蚀。

动。

原子所在位置来定义的。

的关系确定的,共有7个晶系。

其值大于1)得到的应力结果。

通常用来防止发生意料之外的断裂。

子的扩散速率。

生位错运动的结果。

位错包括刃型位错、螺位错和混合位错。

半导体制造专业英语术语

半导体制造专业英语术语

球栅阵列舞厅式布局,超净间的布局 圆桶型反应室 阻挡层金属势垒电压backing film 背膜baffle vt ・ 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇 编 (挡片块)丨 基极,基区 batch 批 bay and chase beam blow-up离子束膨胀 beam deceleration 束流减速分类代码号双极双极技术(工艺) bird ' s beak effect 鸟嘴效应blanket deposition 均厚淀积blower增压泵boat 舟BOE 氧化层刻蚀缓冲剂Bon voyage [法]再见,一路顺风[平安]bonding pads 压点bonding wire 焊线,引线boron(B) 硼boron trichloride(BCL3) 三氯化硼boron trifluoride (B F3)三氟化硼borophosphosilicate glass(BPSG)硼磷硅玻璃borosilicate glass(BSG) 硼硅玻璃bottom antireflective coating(BARC)下减反射涂层boule单晶锭bracket n.墙上凸出的托架,括弧,支架v.括在一起breakthrough step 突破步骤,起始的干法刻蚀步骤brightfield detection 亮场检查brush scrubbing 涮洗bubbler 带鼓泡槽buffered oxide etch(BOE) 氧化层腐蚀缓冲液bulk chemical distribution 批量化学材料配送bulk gases 大批气体bulkhead equipment layout 穿壁式设备布局bumped chip 凸点式芯片buried layer 埋层burn-box 燃烧室(或盒) burn-in 老化CCA 化学放大(胶) cantilever n. 建]悬臂cantilever paddle 悬臂桨cap oxide 掩蔽氧化层capacitance 电容capacitance-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器carbon tetrafluoride(CF4) 四氟化碳caro ' s acid3 号液carrier 载流子carrier-depletion region 载流子耗尽层carrier gas 携带气体cassette (承)片架cation 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD- SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面center slow 中心慢速central processing unit(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Channel 沟道channel length 沟道长度channeling 沟道效应charge carrier 载流子chase技术夹层chelating agent 螯合齐ijchemical amplification(CA) 化学放大胶chemical etch mechanism 化学刻蚀机理chemical mechanical planarization(CMP) 化学机械平坦化chemical solution 化学溶液chemical vapor deposition(CVD) 化学气相淀积chip 芯片chip on board(COB)板上芯片chip scale package(CSP)芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级另卩cleanroom 净化间cleanroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficient n. [数]系数Coefficient of thermal expansion(CTE)热涨系数Coherence probe microscope 相干探测显微镜Coherent light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputtering 准直溅射Compensate v.偿还,补偿,付报酬Compound semiconductor 化合物半导体Concentration 浓度Condensation 浓缩Conductor 导体constantly adv・不变地,经常地,坚持不懈地Confocal microscope 共聚焦显微镜Conformal step coverage 共型台阶覆盖Contact 接触(孔)Contact alignment 接触式对准(光刻)Contact angle meter 接触角度仪Contamination 沾污、污染conti boat 连柱舟conticaster [冶]连铸机Continuous spray develop 连续喷雾显影Contour maps 包络图、等位图、等值图Contrast 对比度、反差contribution n.捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVD Copper interconnect 铜互连Cost of ownership(COO) 业主总成本Covalent bond 共价键Critical dimension 关键尺寸Cryogenic aerosol cleaning 冷凝浮质清洗Cryogenic pump(cryopump) 冷凝泵Crystal 晶体Crystal activation 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orientation 晶向CTE 热涨系数Current-driven current amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamascene 大马士革工艺darkfiled detection 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects density 缺陷密度defect 缺陷deglaze 漂氧化层degree of planarity(DP) 平整度dehydration bake 去湿烘培,脱水烘培density 密度deplention mode 耗尽型degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt ・存放,堆积vi.沉淀deposition 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT)可测试设计desorption 解吸附作用develop inspect 显影检查development 显影developer 显影液deviation n.背离device isolation 器件隔离device technology 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosilane(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alignment 逐个芯片对准dielectric 介质dielectric constant 介电常数die matrix 芯片阵列die separation 分片diffraction 衍射diffraction-limited optics 限制衍射镜片diffusion 扩散diffusion controlled 受控扩散digital/analog数字/模拟digital circuit diluent direct chip attach( DCA) directionality discrete dishing dislocation dissolution ratedissolution rate monitor(DRM) 溶解率监测DNQ-novolak 重氮柰醌一酚醛树脂Donor 施主dopant profile 掺杂刨面) doped虚拟的, region 掺杂区 doping 掺杂 dose monitor剂量检测仪 dose,Q 剂量 downstream reactor 顺流法反应 drain 漏 drive-in推进 dry etch 干法刻蚀 dry mechanical pump干式机械泵 dry oxidation 干法氧化dummy n.哑巴,傀儡,假人,假货 adj. 假的,虚构的 n.[计]哑元 dynamic adj. 动力的,动力学的,动态的 E economies of scale 规模经济 edge bead removal 边缘去胶 edge die 边缘芯片edge exclusion 无效边缘区域 electrically erasable PROM 电可擦除 EPROM electrode 电极 electromigration 电迁徙 electron beam lithography 电子束光刻electron cyclotron resonance 电子共振回旋加速器 electron shower 电子簇射,电子喷淋 electron stopping 电子阻止 electronic wafer map 硅片上电性能分布图 electroplating 电镀 electropolishing 电解拋光electrostatic chuck 静电吸盘 electrostatic discharge(ESD)静电放电 ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极 endpoint detection 终点检测 engineering n.工程(学) electrostatic discharge(EDX)能量弥散谱仪 enhancement mode 增强型 epi 夕卜延epitaxial layer 夕卜延层epoxy underfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器erosion腐蚀,浸蚀establish vt・建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch uniformity 刻蚀均匀性etchant 刻蚀剂etchback planarization 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporation 蒸发even adj.平的,平滑的,偶数的,一致的,平静的,恰好的,平均的,连贯的adv.[加强语气]甚至(・・・也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量extraction electrode 吸极extreme UV 极紫外线extrinsic silicon 掺杂硅F Fables无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furnaces 快速升降温炉fault model 失效模式FCC diamond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s lawsFICK 定律field-effect transistor 场效应晶体管field oxide 场氧化field-by-field alignment 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packaging 最终装配和圭寸装final test 终测first interlayer dielectric(ILD-1)第一层层间介质fixed oxide charge 固定氧化物电荷flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal length 焦距focal plane 焦平面focal point 焦点focus聚焦focus ion beam(FIB) 聚焦离子束footprint 占地面积formula n.公式,规则,客套语forward bias 正偏压four-point probe 四探针frenkel defect Frenkel 缺陷front-opening unified pod(FOUP)前开口盒functional test 功能测试furnace flat zone 恒温区G g-line G 线gallium(Ga)镓gallium arsenide(GaAs)砷化镓gap fill间隙填充gas 气体gas cabinet 气柜gas manifold 气瓶集装gas phase nucleation 气相成核gas purge 气体冲洗gas throughput 气体产量gate 栅gate oxide 栅氧化硅gate oxide integrity 栅氧完整性germanium(Ge) 错getter 俘获glass玻璃glazing 光滑表面global alignment 全局对准global planarization 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grown oxide layer 热氧化生长氧化层HHalogen 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic sealing 密圭寸heteroepitaxy 异质外延heterogeneous reaction 异质反应hexamethyldisilazane(HMDS)六甲基二硅氨烷high-density plasma(HDPCVD) 高密度等离子体化学气相淀积高温扩散炉 high-density plasma etch 高密度等离子刻蚀 high-pressure oxidation 高压氧化high-temperature diffusion furnace high vacuum 高真空 high vacuum pumps 高真空泵 hillock 小丘(铝)尖刺 homoepitaxy 同质外延 homogeneous reaction 同质反应 horizontal adj.地平线的,水平的 horizontal furnace 臣卜式炉 hot electron 热电子 hot wall 热壁 hydrochloric acid(HCL)盐酸 hydrofluoric acid(HF)氢氟酸 hydrogen(H2)氢气 hydrogen chloride(HCL)氯化氢 hydrogen peroxide(H2O2)双氧水 hydeophilic 亲水性 hydrophobic 憎水性,疏水性 hyperfiltration 超过滤Ii-line I 线IC packaging 集成电路封装IC reliability 集成电路可靠性 Iddq testing 静态漏电流测试 image resolution 图象清晰度 图象分解力implant v.灌输(注入) impurity 杂质 increment n.增力口,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母 in situ measurements 在线测量 index of refraction 折射率 indium 铟 inductively coupled plasma (ICP )电感耦合等离子体 inert gas惰性气体infrared interference 红外干涉ingot 锭ink mark墨水标识in-line parametric test 在线参数测试input/output(I/O)pin 输入/ 输出管脚institute n. 学会,学院,协会vt.创立,开始,制定,开始(调查),提起(诉讼) insulator 绝缘体integrate vt.使成整体,使一体化,求…的积分v.结合integrated circuit(IC)集成电路integrated measurement tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连interconnect delay 互连连线延迟interface-trapped charge 界面陷阱电荷interferometer 干涉仪interlayer dielectric(ILD) 层间介质interstitial 间隙(原子) intrinsic silicon 本征硅invoke v.调用ion 离子ion analyzer 离子分析仪ion beam milling or ion beam etching(IBE) 离子铣或离子束刻蚀ion implantation 离子注入ion implantation damage 离子注入损伤ion implantation doping 离子注入掺杂ion implanter离子注入机ion projection lithography(IPL) 离子投影机PVD ionization 离子化ionized metal plasma PVD 离子化金属等离子IPA vapor dry 异丙醇气相干燥isolation regions 隔离区isotropic etch profile各向同性刻蚀刨面JJEFT结型场效应管junction(pn) PN 结junction depth 结深junction spiking 结尖刺KKelvin绝对温度killer defect致命缺陷kinetically controlled reaction 功能控制效应L laminar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusion 横向扩散law of reflection 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compaction 透镜收缩light 光light intensity 光强light scattering 光散射lightly doped drain(LDD) 轻掺杂漏linear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区linewidth 线宽liquid 液体lithography 光刻loaded brush沾污的毛刷loaded effect 负载效应loadlock真空锁local interconnect(LI)局部互连local planarization 局部平坦化local oxidation of silicon(LOCOS)硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD) 低压化学气相淀积LSI大规模集成电路Mmagnetic CZ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputtering 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow controller(MFC) 质量流量计mass spectrometer 质谱仪mass-transport limited reaction 质量传输限制效应mathematical adj.数学的,精确的mean free path(MFP) 平均自由程medium vacuum 中真空adj. megasonic cleaning 超声清洗melt熔融membrane contactor薄膜接触器,隔膜接触器membrane filter薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metal contact 金属接触孔metal impurities 金属杂质metal stack复合金属,金属堆叠metallization 金属化metalorganic CVD金属有机化学气相淀积metrology 度量衡学microchip微芯片microdefect 微缺陷microlithography 微光刻microloading微负载,与刻蚀相关的深宽比micron微米microprocessor n.[计]微处理器microprocessor unit 微处理器microroughness 微粗糙度Miller indices 密勒指数minienvironment 微环境minimum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ionic contaminants(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt・更改,修改v.修改molecular beam epitaxy (MBE) 分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,样片monocrystal 单晶monolithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor curreant endpoint 电机电流终点检测(法) MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multilenel metallization 多重金属化Murphy's model 墨菲模型N nanometer(nm)纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negatine resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受) negatine resist development 负性光刻胶显影neutral beam trap 中性束陷阱next-generation lithography 下一代光刻技术nitric acid(HNO3)硝酸nitrogen(N2)氮气nitrogen trifluoride(NF3) 三氟化氮nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管noncritical layer 非关键层nonvolatile memory 非挥发性存储器normality 归一化notch 定位槽novolak苯酚甲醛聚树脂材料npn npn 型(三极管) n-type silicon n 型硅nuclear stopping 离子终止nucleation 成核现象,晶核形成nuclei coalescence 核合并numericalaperture(NA) 数值孑L径n-well n 阱Oobjective (显微镜的)物镜off-axis illumination(OAI) 偏轴式曝光,离轴式曝光ohmic contact 欧姆接触op amp 运算放大器optical interferometry endpoint 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correction(OPC)光学临近修正optical pyrometer 光学高温计optics 光学organic compound 有机化合物氧化诱生层积 vi.划桨,戏 out-diffusion 反扩散 outgassing 除气作用 overdrive 过压力 overetch step 过刻蚀 overflow rinser 溢流清洗 overlay accuracy 套准精度 overlay budget 套准偏差 overlay registration 套刻对准 oxidation 氧化 oxidation-induced stacking faults(OISF) 缺陷,氧化诱生堆垛层错 oxide 氧化物、氧化层、氧化膜 oxidezer 氧化齐ij oxide-trapped charge 氧化层陷阱电荷 ozone(O3)臭氧Ppackage 封装管壳 pad conditioning 垫修整 pad oxide 垫氧化膜 paddle 悬臂 n.短桨,划桨,明轮翼 水,涉水 vt ・用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(planar)reactor 平板反应parallel testing 并行测试 parameter 参数parametric test 参数测试 parasitic 寄生parasitic capacitance 寄生电容 parasiticresistance 寄生电阻 parasitic transistor 寄生电阻器 partial pressure 分压 particledensity 颗粒密度 particle per wafer perpass(PWP)每步每片上的颗粒 数passivation 钝化 passivation layer 钝化层passive components 无源元件pattern sensitivity 图形灵敏性patterned etching 图形刻蚀pattern wafer 带图形硅片patterning 图形转移,图形成型,刻印pc board 印刷电路版完成任务 p-channel MOSFETp 沟道 MOSFET PCM 工艺控制监测 PEB 曝光后烘焙 PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜 pentavalent 五价元素 perform vt ・ 履行,执行,表演,演出 v. performing adj. 表演的,履行的 perimete array 周边阵列式(圭寸装) pH scale pH 值 phase-shift mask(PSM) 相移掩膜技术 phosphine(PH3) 磷化氢 phosphoric acid(H3PO4)磷酸 phosphorus(P)磷 phosphorus oxychloride(POCL3)三氯氧磷 phosphosilicate glass(PSG)磷硅玻璃 photoacid generator(PAG)光酸产生剂 photoacoustics 光声的 photoactive compound(PAC)感光化合物 photography n.摄影,摄影术 光刻photolithography 光刻(技术) photomask 光掩膜 photoresist 光刻胶 photoresist stripping 去胶、光刻胶去除 physical etch mechanism 物理刻蚀机理 physical vapor deposition(PVD)物理气相淀积 pigtail 引出头 pin grid array(PGA) 针栅阵列式(封装)pinhole 针孑 L piranha 3 号液 pitch 间距 planar 平面 planar capacitor 平面电容 planar process 平面工艺 planarization 平坦化 plasma 等离子体 n.[解]血浆,乳浆,[物]等离子体,plasma-induced damage 等离子体诱导损伤plasma potential distribution 等离子体势分布plastic dual in-line package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packaging 塑料圭寸装plug塞,填充vt.堵,塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisson's model 泊松模型polarization 极化,偏振polarized light 极化光,偏振光polish拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishing loop 磨拋循环polishing pad 拋光(衬)垫polycide 多晶硅化物光刻胶显影post-develop inspection 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphization 预非晶化precursor 先驱物predeposition 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orientation flat 主定位边print bias光刻涨缩量printed circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober探针台process 工艺process chamber工艺腔,工艺反应室process chemical 工艺化学process control monitor(PCM)工艺控制监测(图形) process latitude工艺水平,工艺能力process recipe 工艺菜单programmable arraylogic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt・提示,鼓动,促使, (给演员)提白adj.敏捷的,迅速的,即时的adv.准时地n. DOS命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportional band 比例区,比例带,比例尺范围proximityaligner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率punchthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyrogenic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass analyzer (QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发random access memory(RAM) 随机存储器range射程rapid thremal anneal(RTA) 快速热退火rapid thermal processor(RTP)快速热处理RCA clean RCA 清洗reaction rate limited 反应速率限制reactive ion etch(RIE)反应离子刻蚀reactivity 反应性reactor反应室,反应腔read-only memory(ROM)只读存储器recombination 复合redistribution 再分布reflection spectroscopy 反射光谱仪reflective notching 反射开槽reflow回流refraction 折身寸refractory metal 难融金属regeneration 再生regeneration套准精度relative index of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt・重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA)残余气体分析器resist光刻胶resist development 光刻胶显影resistance 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO)反向渗透RF射频RF sputtering射频溅射rinse v嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughing pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scaling按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪scanning electron microscope(SEM)扫描电子显微镜scanning projection aligner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层scribe line 戈H 片道scribe line monitor(SLM)戈J片线监测scumming 底膜secondary electron 二次电子secondary electron flood 二次电子流secondary ion mass spectrometry(SIMS)二次离子质谱 (法) seed' s model SEE 模型selective etching 选择性刻蚀selective oxidation 选择性氧化selectivity 选择性semiconductor grade silicon 半导体极硅semiconductor 半导体sensitivity 灵敏度shallow trench isolation(STI)浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity,方块电阻率shot size胶(点)尺寸shrinking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon nitride(SI3N4)氮化硅silicon on sapphire 蓝宝石伤硅silicon on insulator(SOI)绝缘体上硅silicontetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅single crystal silicon 单晶硅silylation硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料single crystal 单晶slip滑移slurry磨料SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solvent 溶齐ijSOS蓝宝石上硅Source 源source drain implants 源漏注入spacer n.取间隔的装置,逆电流器spatial coherence 空间相干spatial signature analysis 空间信号分析specialty gase 特种气体species 种类specific gravity 比重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪spin coating光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric(SOD)旋转介质法spin-on-glass(SOG)旋转玻璃法spray cleaning 喷雾清洗spray rinser喷雾清洗槽spreading resistance probe 扩散电阻探测sputter n・喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputtering 溅射sputter etch溅射刻蚀sputtered aluminum 溅射铝sputtering yield 溅射产额SSI小规模集成电路stacking fault层积缺陷,堆垛层错standard clean 1(SC-1) 1 号清洗液standard clean 2(SC-2) 2 号清洗液standard mechanical interface(SMIF)机械标准接口standing wave 驻波static RAM静态存储器statistical process control ( SPC)统计过程控制step coverage台阶覆盖step height台阶高度step-and-repeat aligner 分步重复光刻机step-and-scan system步进扫描光刻机stepper步进光刻机stepping motor driver步进电机驱动器电路stepper步进光刻机stoichiometry化学计量(配比) staggle投射标准偏差stress应力striation 条纹strip vt・剥,剥去n. 条,带stripping 去胶structure 结构subatmospheric CVD亚大气压化学气相淀积submicron 亚微米sub-quarter micron 亚0・25微米substrate 衬底sublimation 升华substitutional atom 替位原子subtract v (〜from)减去,减subwaverlength lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage 测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompression bonding 热压键合thermocouple 热电偶thermogravimetric analysis (TGA) 热重量分析thermosonic bonding 热超声键合thin film 薄膜thin small outline package(TSOP)薄小型圭寸装川-V compound 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS(TOF -SIMS) 飞行时间二次离子质谱titanium silicide 钛硅化合物TLV极限域值top surface imaging 上表面图形topography 形貌torr 托toxic有毒track system(also track) 轨道系统transient enhanced diffusion(TED)瞬时增强扩散transistor 晶体管trench 槽trench capacitor 槽电容trichlorosilane(TCS or SiHCL3)三氯氢硅triode planar reactor三真空管平面反应室triple well 三阱trivalent 三价tungsten(W)钨tungsten stch back 钨反刻tungsten hexafluoride(WF6)六氟化钨tungstenplug钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin planes(twinning) 双平面twin-well(twin-tub)双阱UULSI甚大规模集成电路ultralow penetration air(ULPA)超低穿透空气ultrafiltration 超过滤ultrafine particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow junction 超声键合(压焊) ultraviolet 紫外线undercut 钻蚀uniformity 均匀性unit cell元包,晶胞unpatterned etching(spripping)无图形刻蚀(剥离) unpatterned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE)气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜vaporization 气化variable n.[数]变数,可变物,变量adj. 可变的,不定的,。

金属材料及热处理工艺常用基础英语词汇翻译对照1 - 〖表面热处理〗 - 热处理工艺 - 热处理论坛 热处理技术

金属材料及热处理工艺常用基础英语词汇翻译对照1 - 〖表面热处理〗 - 热处理工艺 - 热处理论坛 热处理技术

热处理论坛? 热处理工艺 ? 〖表面热处理〗 ? 金属材料及热处理工艺常用基础英语词汇翻译对照1返回列表发帖热处理新手keweijiani [原创] 金属材料及热处理工艺常用基础英语词汇翻译对照1X 线结晶分析法 X – ray crystal analyics method奥氏体 Austenite奥氏体碳钢 Austenite Carbon Steel奥氏铁孻回火 Austempering半静钢 Semi-killed steel包晶反应 Peritectic Reaction包晶合金 Peritectic Alloy包晶温度 Peritectic Temperature薄卷片及薄片(0.3至2.9mm 厚之片)机械性能 Mechanical Properties of Thin Stainless Steel (Thickness from 0.3mm to2.9mm ) – strip/sheet杯突测试(厚度: 0.4公厘至1.6公厘,准确至0.1公厘 3个试片平均数) Erichsen test (Thickness : 0.4mm to 1.6mm ,figure round up to 0.1mm )贝氏体钢片 Bainite Steel Strip比电阻 Specific resistivity & specific resistance比较抗磁体、顺磁体及铁磁体 Comparison of Diamagnetism , Paramagnetic & Ferromagnetism比热 Specific Heat比重 Specific gravity & specific density边缘处理 Edge Finish扁线、半圆线及异形线 Flat Wire , Half Round Wire , Shaped WirePrecision Shaped Fine Wire扁线公差 Flat Wire Tolerance变态点 Transformation Point表面保护胶纸 Surface protection film表面处理 Surface finish表面处理 Surface Treatment不破坏检验 Non – destructive inspections打印字体大小:1楼跳转到 ?倒序看帖 发表于 16 分钟前 | 只看该作者注册 登录论坛空间百科导航不锈钢基层金属 Stainless Steel as Base Metal不锈钢片、板用途例 Examples of End Usages of Strip, Sheet & Plate不锈钢片材常用代号 Designation of SUS Steel Special Use Stainless不锈钢片机械性能(301, 304, 631, CSP) Mechanical Properties of Spring use Stainless Steel不锈钢应力退火卷片常用规格名词图解 General Specification of Tension Annealed Stainless Steel Strips不锈钢之分类,耐腐蚀性及耐热性 Classification, Corrosion Resistant & Heat Resistance of Stainless Steel材料的加工性能 Drawing abillity插入型固熔体 Interstital solid solution常用尺寸 Commonly Used Size常用的弹簧不锈钢线-编号,特性,表面处理及化学成份 StainlessSpring Wire – National Standard number, Charateristic,Surface finish & Chemical composition常用的镀锌钢片(电解片)的基层金属、用途、日工标准、美材标准及一般厚度 Base metal, application, JIS & ASTM standard,Normal thickness of galvanized steel sheet长度公差 Length Tolerance超耐热钢 Special Heat Resistance Steel超声波探伤法 Ultrasonic inspection冲击测试 Impact Test冲剪 Drawing & stamping初释纯铁体 Pro-entectoid ferrite处理及表面状况 Finish & Surface纯铁体 Ferrite磁场 Magnetic Field磁畴 Magnetic domain磁粉探伤法 Magnetic particle inspection磁化率 Magnetic Susceptibility (Xm)磁矩 magnetic moment磁力 Magnetic磁力 Magnetic Force磁偶极子 Dipole磁性 Magnetisum磁性变态 Magnetic Transformation磁性变态点 Magnetic Transformation磁性感应 Magnetic Induction粗珠光体 Coarse pearlite淬火 Quenching淬火及回火状态 Hardened & Tempered Strip/ Precision – Quenched Steel Strip淬火剂 Quenching Media单相金属 Single Phase Metal单相轧压镀锡薄铁片(白铁皮/马口铁) Single-Reduced Tinplate弹簧不锈钢线,线径及拉力列表 Stainless Spring Steel, Wire diameterTensile strength of Spring Wire弹簧用碳钢片 CarbonSteel Strip For Spring Use弹簧用碳钢片材之边缘处理 Edge Finished弹性限度、阳氏弹性系数及屈服点 elastic limit, Yeung''s module of elasticity to yield point倒后擦发条 Pull Back Power Spring导热度 Heat conductivity低碳钢或铁基层金属 Iron & Low Carbon as Base Metal低碳马氏体不锈钢 Low Carbon Martensite Stainless Steel低温脆性 Cold brittleness低温退火 Low Temperature Annealing第二潜变期 Secondary Creep第三潜变期 Tertiary Creep第壹潜变期 Primary Creep点焊 Spot welding电镀金属钢片 Plate Metal Strip电镀金属捆片的优点 Advantage of Using Plate Metal Strip电镀锌(电解)钢片 Electro-galvanized Steel Sheet电镀锌钢片的焊接 Welding of Electro-galvanized steel sheet电镀锌钢片或电解钢片 Electro-galvanized Steel Sheet/Electrolytic Zinc Coated Steel Sheet电解/电镀锌大大增强钢片的防锈能力 Galvanic Action improving Weather & Corrosion Resistance of the Base Steel Sheet电解冷轧钢片厚度公差 Thickness Tolerance of Electrolytic Cold-rolled sheet电炉 Electric furnace电器及家电外壳用镀层冷辘 [低碳] 钢片 Coated (Low Carbon) Steel Sheets for Casing,Electricals & Home Appliances电器用的硅 [硅] 钢片之分类 Classification of Silicon Steel Sheet for Electrical Use电器用钢片的绝缘涂层 Performance of Surface Insulation of Electrical Steel Sheets电器用钢片用家需自行应力退火原因 Annealing of the Electrical Steel Sheet电器用硅 [硅] 钢片 Electrical Steel Sheet电阻焊 Resistance Welding定型发条 Constant Torque Spring定型发条的形状及翻动过程 ShapeSpring Back of Constant Torque Spring定型发条及上炼发条的驱动力 Spring Force of Constant Torque SpringWing-up Spring定型发条驱动力公式及代号 The FormulaSymbol of Constant Torque Spring镀层质量标记 Markings & Designations of Differential Coatings镀铬 Chrome Plated镀黄铜 Brass Plated镀铝(硅)钢片 – 美材试标准(ASTM A-463-77)35.7 JIS G3314镀热浸铝片的机械性能 Mechanical Properties of JIS G 3314 Hot-Dip Aluminum-coated SheetsCoils镀铝(硅)钢片 – 日工标准(JIS G3314) Hot-aluminum-coated sheetscoils to JIS G 3314镀铝(硅)钢片及其它种类钢片的抗腐蚀性能比较 Comparsion of various resistance of aluminized steel & other kinds of steel 镀铝(硅)钢片生产流程 Aluminum Steel Sheet, Production Flow Chart镀铝硅钢片 Aluminized Silicon Alloy Steel Sheet镀铝硅合金钢片的特色 Feature of Aluminized Silicon Alloy Steel Sheet镀镍 Nickel Plated镀锡薄钢片(白铁皮/马日铁)制造过程 Production Process of Electrolytic Tinplate镀锡薄铁片(白铁皮/马口铁)(日工标准 JIS G3303)镀锡薄铁片的构造 Construction of Electrolytic Tinplate锻造 Fogging断面缩率 Reduction of area发条的分类及材料 Power Spring Strip ClassificationMaterials发条片 Power Spring Strip反铁磁体 Antiferromagnetism方线公差 Square Wire Tolerance防止生锈 Rust Protection放射线探伤法 Radiographic inspection非晶粒取向电力用钢片的电力、磁力、机械性能及夹层系数 Lamination Factors of Electrical, Magnetic & Mechanical Non-Grain Oriented Electrical沸腾钢(未净钢) Rimmed steel分类 Classification负磁力效应 Negative effect钢板 Steel Plate钢板订货需知 Ordering of Steel Plate钢板生产流程 Production Flow Chart钢板用途分类及各国钢板的工业标准包括日工标准及美材试标准 Type of steel Plate & Related JIS, ASTMOther Major Industrial Standards钢材的熔铸、锻造、挤压及延轧 The Casting, Fogging, Extrusion, Rolling & Steel钢的脆性 Brittleness of Steel钢的种类 Type of Steel钢铁的名称 Name of steel钢铁的制造 Manufacturing of Steel钢铁的主要成份 The major element of steel钢铁生产流程 Steel Production Flow Chart钢铁用“碳”之含量来分类 Classification of Steel according to Carbon contents高锰钢铸 – 日工标准 High manganese steel to JIS standard高碳钢化学成份及用途 High Carbon Tool Steel, Chemical CompositionUsage高碳钢片 High Carbon Steel Strip高碳钢片用途 End Usage of High Carbon Steel Strip高碳钢线枝 High Carbon Steel Wire Rod (to JIS G3506)高温回火 High Temperature Tempering格子常数 Lattice constant铬钢 – 日工标准 JIS G4104 Chrome steel to JIS G4104铬镍不锈钢及抗热钢弹簧线材–美国材验学会 ASTM A313 – 1987 Chromium – Nickel StainlessHeat-resisting Steel Spring Wire – ASTM A313 – 1987铬系耐热钢 Chrome Heat Resistance Steel铬钼钢钢材 – 日工标准 G4105 62 Chrome Molybdenum steel to JIS G4105各种不锈钢线在不同处理拉力比较表 Tensile Strength of various kinds of Stainless Steel Wire under Different Finish工业标准及规格 – 铁及非铁金属 Industrial Standard – Ferrous & Non – ferrous Metal公差 Size Tolerance共晶 Eutectic共释变态 Eutectoid Transformation固熔体 Solid solution光辉退火 Bright Annealing光线(低碳钢线),火线(退火低碳钢线),铅水线(镀锌低碳钢线)及制造钉用低碳钢线之代号、公差及备注 Ordinary Low Carbon Steel Wire, Annealed Low Carbon Steel Wire, Galvanized low Carbon Steel Wire & Low Carbon Steel Wire for nail manufacturing - classification, Symbol of Grade, ToleranceRemarks.硅含量对电器用的低碳钢片的最大好处 The Advantage of Using Silicon low Carbon Steel滚焊 Seam welding过共晶体 Hyper-ectectic Alloy过共释钢 Hype-eutectoid含硫易车钢 Sulphuric Free Cutting Steel含铅易车钢 Leaded Free Cutting Steel含铁体不锈钢 Ferrite Stainless Steel焊接 Welding焊接合金 SolderingBrazing Alloy焊接能力 Weldability 镀铝钢片的焊接状态(比较冷辘钢片) Tips on welding of Aluminized sheet in comparasion with cold rolled steel strip合金平衡状态 Thermal Equilibrium厚度及阔度公差 Tolerance on Thickness & Width滑动面 Slip Plan化学成份 Chemical Composition化学结合 Chemical bond化学性能 Chemical Properties化学元素 Chemical element黄铜基层金属 Brass as Base Metal回复柔软 Crystal Recovery回火脆性 Temper brittleness回火有低温回火及高温回火 Low & High Temperature Tempering回火状态 Annealed Strip基层金属 Base Metal of Plated Metal Strip机械性能 Mechanical Properites机械性能 Mechanical properties畸变 Distortion级别、电镀方法、镀层质量及常用称号 Grade, Plating type, Designation of Coating Mass & Common Coating Mass级别,代号,扭曲特性及可用之线材直径 Classes, symbols, twisting characteristicapplied Wire Diameters级别,代号及化学成份 Classification, Symbol of GradeChemical Composition挤压 Extrusion加工方法 Manufacturing Method加工性能 Machinability简介 General交换能量 Positive energy exchange矫顽磁力 Coercive Force金属变态 Transformation金属材料的试验方法 The Method of Metal inspection金属材料的性能及试验 Properties & testing of metal金属的特性 Features of Metal金属的相融、相融温度、晶体反应及合金在共晶合金、固熔孻共晶合金及偏晶反应的比较 Equilibrium Comparision金属间化物 Intermetallic compound金属结晶格子 Metal space lattice金属捆片电镀层 Plated Layer of Plated Metal Strip金属塑性 Plastic Deformation金属特性 Special metallic features金属与合金 MetalAlloy金相及相律 Metal PhasePhase Rule晶粒取向(Grain-Oriented)及非晶粒取向(Non-Oriented)晶粒取向,定取向芯钢片及高硼定取向芯钢片之磁力性能及夹层系数(日工标准及美材标准) Magnetic PropertiesLamination Factor of SI-ORIENT-CORE& SI-ORIENT-CORE-HI B Electrical Steel Strip (JISAISI Standard)晶粒取向电器用硅 [硅] 钢;片 – 高硼低硫(LS)定取向钢片之磁力及电力性能 MagneticElectrical Properties of SI-ORIENT-CORE-HI-B-LS晶粒取向电器用硅 [硅] 钢片 – 高硼(HI-B)定取向芯钢片及定取向芯钢片之机械性能及夹层系数 Mechanical PropertiesLamination Factors of SI-ORIENT-CORE-HI-BSI-ORIENT-CORE Grain Orient Electrical Steel Sheets晶粒取向电器用硅 [硅] 钢片 – 高硼低硫(LS)定取向钢片之机械性能及夹层系数 Mechanical PropertiesLamination Factors of SI-ORIENT-CORE-HI-B-LS晶粒取向电器用硅(硅)钢片 – 高硼(HI-B)定取向芯钢片,定取向芯钢片及高硼低硫(LS)定取向芯钢片之标准尺寸及包装Standard FormsSize of SI-ORIENT-CORE-HI-B,SI-CORE, & SI-ORIENT-CORE-HI-B-LS Grain-晶粒取向电器用硅(硅)钢片-高硼(HI-B)定取向芯钢片,定取向芯钢片及高硼低硫(LS)定取向芯钢片之厚度及阔度公差Physical Tolerance of SI-ORIENT-CORE-HI-B, SI-ORIENT-CORE, & SI-CORE-HI-B-LS Grain晶粒取向电器用硅钢片 Grain-Oriented Electrical Steel晶粒取向电器用硅钢片主要工业标准 International Standard – Grain-Oriented Electrical Steel Silicon Steel Sheet for Electrical Use晶体结构 Crystal Pattern晶体结构,定向格子及单位晶格 Crystal structure, Space lattice & Unit cell净磁矩 Net magnetic moment绝缘表面 Surface Insulation均热炉 Soaking pit抗磁体 Diamagnetism抗腐蚀及耐用 Corrosion & resistance durability抗化学品能力 Chemical Resistance抗敏感及环境保护 Allergic, re-cycling & environmental protection抗热超级合金 Heat Resistance Super Alloy扩散退火 Diffusion Annealing拉尺发条 Measure Tape拉伸测试(顺纹测试) Elongation test冷冲及冷锻用碳钢线枝 Carbon Steel Wire Rods for Cold Heading & Cold Forging (to JIS G3507)冷拉钢板重量表 Cold Drawn Steel Bar Weight Table冷拉钢枝材 Cold Drawn Carbon Steel Shafting Bar冷拉高碳钢线 Hard Drawn High Carbon Steel Wire冷轧钢片 Cold-Rolled Steel Sheet/Strip冷轧高碳钢–日本工业标准 Cold-Rolled (Special Steel) Carbon Steel Strip to JIS G3311冷轧或热轧钢片阔度公差 Width Tolerance of Cold or Hot-rolled sheet冷轧状态 Cold Rolled Strip冷辘(低碳)钢片的分类用、途、工业标准、品质、加热状态及硬度表 End usages, industrial standard, quality,conditionhardness of cold rolled steel strip收藏分享球化退火 Spheroidizing Annealing曲面(假曲率) Camber屈服强度(降伏强度)(Yield strangth)全静钢 Killed steel热力应先从工件边缘透入 Heat from the Laminated Stacks Edges热膨胀系数 Coefficient of thermal expansion热轧钢片 Hot-Rolled Sheet/Strip热轧钢片厚度公差 Thickness Tolerance of Hot-rolled sheet日本工业标准–不锈钢的化学成份(先数字后字母排列) JIS – Chemical Composition of Stainless Steel (in order of number & alphabet)日工标准(JIS G3141)冷辘钢片化学成份 Chemical composition – cold rolled steel sheet to JIS G3141日工标准(JIS G3141)冷辘钢片重量列表 Mass of Cold-Rolled Steel Sheet to JIS G3141日工标准JIS G3141冷辘低碳钢片(双单光片)的编号浅释 Decoding of cold rolled(Low carbon)steel strip JIS G3141日工标准下的特殊钢材 Specail Steel according to JIS Standard熔铸 Casting软磁 Soft Magnetic软磁材料 Soft Magnetic Material软焊 Soldering Alloy软焊合金 – 日本标准 JIS H 4341 Soldering Alloy to JIS H 4341上链发条 Wind-up Spring上漆能力 Paint Adhesion伸长度 Elongation渗碳体 Cementitle渗透探伤法 Penetrate inspection生产流程 Production Flow Chart生锈速度表 Speed of rusting时间淬火 Time Quenching时间效应(老化)及拉伸应变 Aging & Stretcher Strains释出硬化不锈钢 Precipitation Hardening Stainless Steel双相辗压镀锡薄钢片(马口铁/白铁皮) Dual-Reduction Tinplate顺磁体 Paramagnetic碳钢回火 Tempering碳污染 Prevent Carbon Contamination特点 Characteristic特殊钢 Special Steel特殊钢以用途来分类 Classification of Special Steel according to End Usage特殊钢以原素分类 Classification of Special Steel according to Element提防过份氧化 No Excessive Oxidation铁磁体 Ferromagnetism铁铬系不锈钢片 Chrome Stainless Steel铁及非铁金属 Ferrous & Non Ferrous Metal铁锰铝不锈钢 Fe / Mn / Al / Stainless Steel铁线(低碳钢线)日工标准 JIS G 3532 Low Carbon Steel Wires ( Iron Wire ) to JIS G 3532铁相 Steel Phases同素变态 Allotropic Transformation铜基层金属 Copper as Base Metal透磁度 Magnetic Permeability退火 Annealing退火时注意事项 Annealing Precautionary外价电子 Outer valence electrons弯度 Camber完全退火 Full Annealing物理性能 Physical Properties物料科学 Material Science物料科学定义 Material Science Definition锡层质量 Mass of Tin Coating (JIS G3303-1987)锡基、铅基及锌基轴承合金比较表 Comparison of Tin base, Lead baseZinc base alloy for Bearing purpose细线材、枝材、棒材 Chapter Five Wire, Rod & Bar显微观察法 Microscopic inspection线材/枝材材质分类及制成品 ClassificationEnd Products of Wire/Rod线径、公差及机械性能(日本工业标准 G 3521) Mechanical Properties (JIS G 3521)相反旋转 Opposite span相律 Phase Rule锌包层之重量,铜硫酸盐试验之酸洗次数及测试用卷筒直径 Weight of Zinc-Coating, Number of Dippings in Cupric Sulphate TestDiameters of Mandrel Used for Coiling Test锌镀层质量 Zinc Coating Mass锌镀层质量(两个不同锌镀层厚度) Mass Calculation of coating (For differential coating)/MM锌镀层质量(两个相同锌镀层厚度) Mass Calculation of coating (For equal coating)/MM亚共晶体 Hypoeutetic Alloy亚铁磁体 Ferrimagnetism亚铁释体 Hyppo-Eutectoid延轧 Rolling颜色 Colour易车(快削)不锈钢 Free Cutting Stainless Steel易车(快削)不锈钢拉力表 Tensile Strength of Free Cutting Wires易车(快削)不锈钢种类 Type of steel易车不锈钢及易车钢之不同尺寸及硬度比较 Hardness of Different Types & Size of Free Cutting Steel易车碳钢 Free Cutting Carbon Steels (to JIS G4804 )易溶合金 Fusible Alloy应力退火温度 Stress –relieving Annealing Temperature应用材料 Material Used硬磁 Hard Magnetic硬磁材料 Hard Magnetic Material硬度 Hardness硬度及拉力 Hardness & Tensile strength test硬焊 Brazing Alloy硬化 Work Hardening硬化性能 Hardenability用含碳量分类 – 即低碳钢、中碳钢及高碳钢 Classification According to Carbon Contains用途 End Usages用组织结构分类 Classification According to Grain Structure幼珠光体 Fine pearlite元素的原子序数 Atom of Elements原子的组成、大小、体积和单位图表 The size, mass, charge of an atom,is particles (Pronton,NentronElectron)原子的组织图 Atom Constitutes原子及固体物质 Atomsolid material原子键结 Atom Bonding圆钢枝,方钢枝及六角钢枝之形状及尺寸之公差 Tolerance on ShapeDimensions for Round Steel Bar, Square Steel Bar,Hexagonal Steel Bar圆径及偏圆度之公差 Tolerance of Wire Diameters & Ovality圆面(“卜竹”)发条 Convex Spring Strip再结晶 Recrystallization正磁化率 Positive magnetic susceptibility枝/棒无芯磨公差表(μ)(μ = 1/100 mm) Rod/Bar Centreless Grind Tolerance枝材之美工标准,日工标准,用途及化学成份 AISI, JIS End UsageChemical Composition of Cold Drawn Carbon Steel Shafting Bar直径,公差及拉力强度 Diameter, ToleranceTensile Strength直径公差,偏圆度及脱碳层的平均深度 Diameter Tolerance, OvalityAverage Decarburized Layer Depth置换型固熔体 Substitutional type solid solution滞后回线 Narrow Hystersis。

中考物理与科技创新英语阅读理解30题

中考物理与科技创新英语阅读理解30题

中考物理与科技创新英语阅读理解30题1<背景文章>In modern society, the combination of physics principles and technological innovation has brought about revolutionary changes. One of the remarkable applications is the use of electromagnetic induction in electric vehicle charging technology. Electromagnetic induction, discovered by Michael Faraday, is the principle that a changing magnetic field can induce an electromotive force in a conductor. In the context of electric vehicles, this principle is applied to wireless charging systems. A charging pad creates a changing magnetic field, and the receiver on the vehicle, which is a conductor, converts this magnetic field into electrical energy to charge the vehicle's battery. This technology not only makes charging more convenient but also reduces the need for cumbersome charging cables.Another fascinating application is the use of optical principles in new display technologies. For example, liquid - crystal displays (LCDs) rely on the properties of liquid crystals and light polarization. Liquid crystals can change their orientation in response to an electric field. By controlling the electric field, we can manipulate the passage of polarized light through the liquid - crystal layer. This enables the creation of different colors andimages on the screen. Organic light - emitting diodes (OLEDs) are another innovative display technology. They are based on the principle of electroluminescence, where an organic material emits light when an electric current is passed through it. OLEDs offer better contrast, wider viewing angles, and thinner form factors compared to traditional LCDs.Moreover, the principle of thermodynamics is also widely used in technological innovation. In the field of energy - efficient buildings, the understanding of heat transfer and insulation is crucial. Buildings are designed with materials that have low thermal conductivity to prevent heat from escaping during winter and entering during summer. This reduces the need for excessive heating and cooling, thus saving energy.1. <问题1>What principle is used in electric vehicle wireless charging technology?A. ThermodynamicsB. Electromagnetic inductionC. ElectroluminescenceD. Light polarization答案:B。

利用晶体生长模拟软件FEMAG进行蓝宝石晶体生长模拟的方法课件

利用晶体生长模拟软件FEMAG进行蓝宝石晶体生长模拟的方法课件

• Specifications:
- Temperature in the sapphire and in all furnace components by solving the global heat transfer in the furnace (radiation, conduction , convection).
RADIATION
CONVECTION CONDUCTION
MESH
GEOMETRY

FEMAG3 NEW 2011 •Very accurate : Finite Element and Spectral methods •Very efficient : 3D simulations in less 1 day •Very flexible : Very fast development of new demand
▪ Time Dependent
PPT学习交流
9
CONFIDENTIAL
Process-dedicated tools
▪ FEMAG-CZ : Czochralski ▪ FEMAG-FZ : Floating Zone ▪ FEMAG-VB : Vertical Bridgman ▪ FEMAG-CZ/OX : Czochralski for Sapphire ▪ FEMAG-KY : Kyropoulos ▪ FEMAG-DS : Directional Solidification ▪ FEMAG-HEM : Heat Exchange Method
Result using coarse mesh
Result using BLM mesh
VeloPPTc学i习ty交流field

全球半导体晶体生长仿真

全球半导体晶体生长仿真
FEMAGSoft © 2013
Stream
function
psi 7.4E-05 7.1E-05 6.8E-05 6.5E-05 6.2E-05 5.8E-05 5.5E-05 5.2E-05 4.9E-05 4.6E-05 4.3E-05 4.0E-05 3.6E-05 3.3E-05 3.0E-05 2.7E-05 2.4E-05 2.1E-05 1.7E-05 1.4E-05 1.1E-05 8.0E-06 4.8E-06 1.7E-06 -1.5E-06 -4.7E-06
1. Numerical strategy (cont’d)
Heat shield
Typical FEMAG-CZ global unstructured
mesh
- crystal quality ? - process yield ? - energy consumption ? - production rate ?
FEMAGSoft © 2013
Introduction (cont’d)
Main difficulties:
– Multi-physics: heat and mass transport in the melt and the gas, turbulence, radiation transfer, etc., all interact and strongly affect species incorporation and defect formation in the crystal
• b) Time-dependent modeling: use of various simulation modes (ex: quasi-steady, quasi-dynamic, inverse or direct dynamic models in Cz growth)

金属材料及热处理工艺常用基础英语词汇翻译对照1 - 〖表面热处理〗 - 热处理工艺 - 热处理论坛 热处理技术

金属材料及热处理工艺常用基础英语词汇翻译对照1 - 〖表面热处理〗 - 热处理工艺 - 热处理论坛 热处理技术

热处理论坛? 热处理工艺 ? 〖表面热处理〗 ? 金属材料及热处理工艺常用基础英语词汇翻译对照1返回列表发帖热处理新手keweijiani [原创] 金属材料及热处理工艺常用基础英语词汇翻译对照1X 线结晶分析法 X – ray crystal analyics method奥氏体 Austenite奥氏体碳钢 Austenite Carbon Steel奥氏铁孻回火 Austempering半静钢 Semi-killed steel包晶反应 Peritectic Reaction包晶合金 Peritectic Alloy包晶温度 Peritectic Temperature薄卷片及薄片(0.3至2.9mm 厚之片)机械性能 Mechanical Properties of Thin Stainless Steel (Thickness from 0.3mm to2.9mm ) – strip/sheet杯突测试(厚度: 0.4公厘至1.6公厘,准确至0.1公厘 3个试片平均数) Erichsen test (Thickness : 0.4mm to 1.6mm ,figure round up to 0.1mm )贝氏体钢片 Bainite Steel Strip比电阻 Specific resistivity & specific resistance比较抗磁体、顺磁体及铁磁体 Comparison of Diamagnetism , Paramagnetic & Ferromagnetism比热 Specific Heat比重 Specific gravity & specific density边缘处理 Edge Finish扁线、半圆线及异形线 Flat Wire , Half Round Wire , Shaped WirePrecision Shaped Fine Wire扁线公差 Flat Wire Tolerance变态点 Transformation Point表面保护胶纸 Surface protection film表面处理 Surface finish表面处理 Surface Treatment不破坏检验 Non – destructive inspections打印字体大小:1楼跳转到 ?倒序看帖 发表于 16 分钟前 | 只看该作者注册 登录论坛空间百科导航不锈钢基层金属 Stainless Steel as Base Metal不锈钢片、板用途例 Examples of End Usages of Strip, Sheet & Plate不锈钢片材常用代号 Designation of SUS Steel Special Use Stainless不锈钢片机械性能(301, 304, 631, CSP) Mechanical Properties of Spring use Stainless Steel不锈钢应力退火卷片常用规格名词图解 General Specification of Tension Annealed Stainless Steel Strips不锈钢之分类,耐腐蚀性及耐热性 Classification, Corrosion Resistant & Heat Resistance of Stainless Steel材料的加工性能 Drawing abillity插入型固熔体 Interstital solid solution常用尺寸 Commonly Used Size常用的弹簧不锈钢线-编号,特性,表面处理及化学成份 StainlessSpring Wire – National Standard number, Charateristic,Surface finish & Chemical composition常用的镀锌钢片(电解片)的基层金属、用途、日工标准、美材标准及一般厚度 Base metal, application, JIS & ASTM standard,Normal thickness of galvanized steel sheet长度公差 Length Tolerance超耐热钢 Special Heat Resistance Steel超声波探伤法 Ultrasonic inspection冲击测试 Impact Test冲剪 Drawing & stamping初释纯铁体 Pro-entectoid ferrite处理及表面状况 Finish & Surface纯铁体 Ferrite磁场 Magnetic Field磁畴 Magnetic domain磁粉探伤法 Magnetic particle inspection磁化率 Magnetic Susceptibility (Xm)磁矩 magnetic moment磁力 Magnetic磁力 Magnetic Force磁偶极子 Dipole磁性 Magnetisum磁性变态 Magnetic Transformation磁性变态点 Magnetic Transformation磁性感应 Magnetic Induction粗珠光体 Coarse pearlite淬火 Quenching淬火及回火状态 Hardened & Tempered Strip/ Precision – Quenched Steel Strip淬火剂 Quenching Media单相金属 Single Phase Metal单相轧压镀锡薄铁片(白铁皮/马口铁) Single-Reduced Tinplate弹簧不锈钢线,线径及拉力列表 Stainless Spring Steel, Wire diameterTensile strength of Spring Wire弹簧用碳钢片 CarbonSteel Strip For Spring Use弹簧用碳钢片材之边缘处理 Edge Finished弹性限度、阳氏弹性系数及屈服点 elastic limit, Yeung''s module of elasticity to yield point倒后擦发条 Pull Back Power Spring导热度 Heat conductivity低碳钢或铁基层金属 Iron & Low Carbon as Base Metal低碳马氏体不锈钢 Low Carbon Martensite Stainless Steel低温脆性 Cold brittleness低温退火 Low Temperature Annealing第二潜变期 Secondary Creep第三潜变期 Tertiary Creep第壹潜变期 Primary Creep点焊 Spot welding电镀金属钢片 Plate Metal Strip电镀金属捆片的优点 Advantage of Using Plate Metal Strip电镀锌(电解)钢片 Electro-galvanized Steel Sheet电镀锌钢片的焊接 Welding of Electro-galvanized steel sheet电镀锌钢片或电解钢片 Electro-galvanized Steel Sheet/Electrolytic Zinc Coated Steel Sheet电解/电镀锌大大增强钢片的防锈能力 Galvanic Action improving Weather & Corrosion Resistance of the Base Steel Sheet电解冷轧钢片厚度公差 Thickness Tolerance of Electrolytic Cold-rolled sheet电炉 Electric furnace电器及家电外壳用镀层冷辘 [低碳] 钢片 Coated (Low Carbon) Steel Sheets for Casing,Electricals & Home Appliances电器用的硅 [硅] 钢片之分类 Classification of Silicon Steel Sheet for Electrical Use电器用钢片的绝缘涂层 Performance of Surface Insulation of Electrical Steel Sheets电器用钢片用家需自行应力退火原因 Annealing of the Electrical Steel Sheet电器用硅 [硅] 钢片 Electrical Steel Sheet电阻焊 Resistance Welding定型发条 Constant Torque Spring定型发条的形状及翻动过程 ShapeSpring Back of Constant Torque Spring定型发条及上炼发条的驱动力 Spring Force of Constant Torque SpringWing-up Spring定型发条驱动力公式及代号 The FormulaSymbol of Constant Torque Spring镀层质量标记 Markings & Designations of Differential Coatings镀铬 Chrome Plated镀黄铜 Brass Plated镀铝(硅)钢片 – 美材试标准(ASTM A-463-77)35.7 JIS G3314镀热浸铝片的机械性能 Mechanical Properties of JIS G 3314 Hot-Dip Aluminum-coated SheetsCoils镀铝(硅)钢片 – 日工标准(JIS G3314) Hot-aluminum-coated sheetscoils to JIS G 3314镀铝(硅)钢片及其它种类钢片的抗腐蚀性能比较 Comparsion of various resistance of aluminized steel & other kinds of steel 镀铝(硅)钢片生产流程 Aluminum Steel Sheet, Production Flow Chart镀铝硅钢片 Aluminized Silicon Alloy Steel Sheet镀铝硅合金钢片的特色 Feature of Aluminized Silicon Alloy Steel Sheet镀镍 Nickel Plated镀锡薄钢片(白铁皮/马日铁)制造过程 Production Process of Electrolytic Tinplate镀锡薄铁片(白铁皮/马口铁)(日工标准 JIS G3303)镀锡薄铁片的构造 Construction of Electrolytic Tinplate锻造 Fogging断面缩率 Reduction of area发条的分类及材料 Power Spring Strip ClassificationMaterials发条片 Power Spring Strip反铁磁体 Antiferromagnetism方线公差 Square Wire Tolerance防止生锈 Rust Protection放射线探伤法 Radiographic inspection非晶粒取向电力用钢片的电力、磁力、机械性能及夹层系数 Lamination Factors of Electrical, Magnetic & Mechanical Non-Grain Oriented Electrical沸腾钢(未净钢) Rimmed steel分类 Classification负磁力效应 Negative effect钢板 Steel Plate钢板订货需知 Ordering of Steel Plate钢板生产流程 Production Flow Chart钢板用途分类及各国钢板的工业标准包括日工标准及美材试标准 Type of steel Plate & Related JIS, ASTMOther Major Industrial Standards钢材的熔铸、锻造、挤压及延轧 The Casting, Fogging, Extrusion, Rolling & Steel钢的脆性 Brittleness of Steel钢的种类 Type of Steel钢铁的名称 Name of steel钢铁的制造 Manufacturing of Steel钢铁的主要成份 The major element of steel钢铁生产流程 Steel Production Flow Chart钢铁用“碳”之含量来分类 Classification of Steel according to Carbon contents高锰钢铸 – 日工标准 High manganese steel to JIS standard高碳钢化学成份及用途 High Carbon Tool Steel, Chemical CompositionUsage高碳钢片 High Carbon Steel Strip高碳钢片用途 End Usage of High Carbon Steel Strip高碳钢线枝 High Carbon Steel Wire Rod (to JIS G3506)高温回火 High Temperature Tempering格子常数 Lattice constant铬钢 – 日工标准 JIS G4104 Chrome steel to JIS G4104铬镍不锈钢及抗热钢弹簧线材–美国材验学会 ASTM A313 – 1987 Chromium – Nickel StainlessHeat-resisting Steel Spring Wire – ASTM A313 – 1987铬系耐热钢 Chrome Heat Resistance Steel铬钼钢钢材 – 日工标准 G4105 62 Chrome Molybdenum steel to JIS G4105各种不锈钢线在不同处理拉力比较表 Tensile Strength of various kinds of Stainless Steel Wire under Different Finish工业标准及规格 – 铁及非铁金属 Industrial Standard – Ferrous & Non – ferrous Metal公差 Size Tolerance共晶 Eutectic共释变态 Eutectoid Transformation固熔体 Solid solution光辉退火 Bright Annealing光线(低碳钢线),火线(退火低碳钢线),铅水线(镀锌低碳钢线)及制造钉用低碳钢线之代号、公差及备注 Ordinary Low Carbon Steel Wire, Annealed Low Carbon Steel Wire, Galvanized low Carbon Steel Wire & Low Carbon Steel Wire for nail manufacturing - classification, Symbol of Grade, ToleranceRemarks.硅含量对电器用的低碳钢片的最大好处 The Advantage of Using Silicon low Carbon Steel滚焊 Seam welding过共晶体 Hyper-ectectic Alloy过共释钢 Hype-eutectoid含硫易车钢 Sulphuric Free Cutting Steel含铅易车钢 Leaded Free Cutting Steel含铁体不锈钢 Ferrite Stainless Steel焊接 Welding焊接合金 SolderingBrazing Alloy焊接能力 Weldability 镀铝钢片的焊接状态(比较冷辘钢片) Tips on welding of Aluminized sheet in comparasion with cold rolled steel strip合金平衡状态 Thermal Equilibrium厚度及阔度公差 Tolerance on Thickness & Width滑动面 Slip Plan化学成份 Chemical Composition化学结合 Chemical bond化学性能 Chemical Properties化学元素 Chemical element黄铜基层金属 Brass as Base Metal回复柔软 Crystal Recovery回火脆性 Temper brittleness回火有低温回火及高温回火 Low & High Temperature Tempering回火状态 Annealed Strip基层金属 Base Metal of Plated Metal Strip机械性能 Mechanical Properites机械性能 Mechanical properties畸变 Distortion级别、电镀方法、镀层质量及常用称号 Grade, Plating type, Designation of Coating Mass & Common Coating Mass级别,代号,扭曲特性及可用之线材直径 Classes, symbols, twisting characteristicapplied Wire Diameters级别,代号及化学成份 Classification, Symbol of GradeChemical Composition挤压 Extrusion加工方法 Manufacturing Method加工性能 Machinability简介 General交换能量 Positive energy exchange矫顽磁力 Coercive Force金属变态 Transformation金属材料的试验方法 The Method of Metal inspection金属材料的性能及试验 Properties & testing of metal金属的特性 Features of Metal金属的相融、相融温度、晶体反应及合金在共晶合金、固熔孻共晶合金及偏晶反应的比较 Equilibrium Comparision金属间化物 Intermetallic compound金属结晶格子 Metal space lattice金属捆片电镀层 Plated Layer of Plated Metal Strip金属塑性 Plastic Deformation金属特性 Special metallic features金属与合金 MetalAlloy金相及相律 Metal PhasePhase Rule晶粒取向(Grain-Oriented)及非晶粒取向(Non-Oriented)晶粒取向,定取向芯钢片及高硼定取向芯钢片之磁力性能及夹层系数(日工标准及美材标准) Magnetic PropertiesLamination Factor of SI-ORIENT-CORE& SI-ORIENT-CORE-HI B Electrical Steel Strip (JISAISI Standard)晶粒取向电器用硅 [硅] 钢;片 – 高硼低硫(LS)定取向钢片之磁力及电力性能 MagneticElectrical Properties of SI-ORIENT-CORE-HI-B-LS晶粒取向电器用硅 [硅] 钢片 – 高硼(HI-B)定取向芯钢片及定取向芯钢片之机械性能及夹层系数 Mechanical PropertiesLamination Factors of SI-ORIENT-CORE-HI-BSI-ORIENT-CORE Grain Orient Electrical Steel Sheets晶粒取向电器用硅 [硅] 钢片 – 高硼低硫(LS)定取向钢片之机械性能及夹层系数 Mechanical PropertiesLamination Factors of SI-ORIENT-CORE-HI-B-LS晶粒取向电器用硅(硅)钢片 – 高硼(HI-B)定取向芯钢片,定取向芯钢片及高硼低硫(LS)定取向芯钢片之标准尺寸及包装Standard FormsSize of SI-ORIENT-CORE-HI-B,SI-CORE, & SI-ORIENT-CORE-HI-B-LS Grain-晶粒取向电器用硅(硅)钢片-高硼(HI-B)定取向芯钢片,定取向芯钢片及高硼低硫(LS)定取向芯钢片之厚度及阔度公差Physical Tolerance of SI-ORIENT-CORE-HI-B, SI-ORIENT-CORE, & SI-CORE-HI-B-LS Grain晶粒取向电器用硅钢片 Grain-Oriented Electrical Steel晶粒取向电器用硅钢片主要工业标准 International Standard – Grain-Oriented Electrical Steel Silicon Steel Sheet for Electrical Use晶体结构 Crystal Pattern晶体结构,定向格子及单位晶格 Crystal structure, Space lattice & Unit cell净磁矩 Net magnetic moment绝缘表面 Surface Insulation均热炉 Soaking pit抗磁体 Diamagnetism抗腐蚀及耐用 Corrosion & resistance durability抗化学品能力 Chemical Resistance抗敏感及环境保护 Allergic, re-cycling & environmental protection抗热超级合金 Heat Resistance Super Alloy扩散退火 Diffusion Annealing拉尺发条 Measure Tape拉伸测试(顺纹测试) Elongation test冷冲及冷锻用碳钢线枝 Carbon Steel Wire Rods for Cold Heading & Cold Forging (to JIS G3507)冷拉钢板重量表 Cold Drawn Steel Bar Weight Table冷拉钢枝材 Cold Drawn Carbon Steel Shafting Bar冷拉高碳钢线 Hard Drawn High Carbon Steel Wire冷轧钢片 Cold-Rolled Steel Sheet/Strip冷轧高碳钢–日本工业标准 Cold-Rolled (Special Steel) Carbon Steel Strip to JIS G3311冷轧或热轧钢片阔度公差 Width Tolerance of Cold or Hot-rolled sheet冷轧状态 Cold Rolled Strip冷辘(低碳)钢片的分类用、途、工业标准、品质、加热状态及硬度表 End usages, industrial standard, quality,conditionhardness of cold rolled steel strip收藏分享球化退火 Spheroidizing Annealing曲面(假曲率) Camber屈服强度(降伏强度)(Yield strangth)全静钢 Killed steel热力应先从工件边缘透入 Heat from the Laminated Stacks Edges热膨胀系数 Coefficient of thermal expansion热轧钢片 Hot-Rolled Sheet/Strip热轧钢片厚度公差 Thickness Tolerance of Hot-rolled sheet日本工业标准–不锈钢的化学成份(先数字后字母排列) JIS – Chemical Composition of Stainless Steel (in order of number & alphabet)日工标准(JIS G3141)冷辘钢片化学成份 Chemical composition – cold rolled steel sheet to JIS G3141日工标准(JIS G3141)冷辘钢片重量列表 Mass of Cold-Rolled Steel Sheet to JIS G3141日工标准JIS G3141冷辘低碳钢片(双单光片)的编号浅释 Decoding of cold rolled(Low carbon)steel strip JIS G3141日工标准下的特殊钢材 Specail Steel according to JIS Standard熔铸 Casting软磁 Soft Magnetic软磁材料 Soft Magnetic Material软焊 Soldering Alloy软焊合金 – 日本标准 JIS H 4341 Soldering Alloy to JIS H 4341上链发条 Wind-up Spring上漆能力 Paint Adhesion伸长度 Elongation渗碳体 Cementitle渗透探伤法 Penetrate inspection生产流程 Production Flow Chart生锈速度表 Speed of rusting时间淬火 Time Quenching时间效应(老化)及拉伸应变 Aging & Stretcher Strains释出硬化不锈钢 Precipitation Hardening Stainless Steel双相辗压镀锡薄钢片(马口铁/白铁皮) Dual-Reduction Tinplate顺磁体 Paramagnetic碳钢回火 Tempering碳污染 Prevent Carbon Contamination特点 Characteristic特殊钢 Special Steel特殊钢以用途来分类 Classification of Special Steel according to End Usage特殊钢以原素分类 Classification of Special Steel according to Element提防过份氧化 No Excessive Oxidation铁磁体 Ferromagnetism铁铬系不锈钢片 Chrome Stainless Steel铁及非铁金属 Ferrous & Non Ferrous Metal铁锰铝不锈钢 Fe / Mn / Al / Stainless Steel铁线(低碳钢线)日工标准 JIS G 3532 Low Carbon Steel Wires ( Iron Wire ) to JIS G 3532铁相 Steel Phases同素变态 Allotropic Transformation铜基层金属 Copper as Base Metal透磁度 Magnetic Permeability退火 Annealing退火时注意事项 Annealing Precautionary外价电子 Outer valence electrons弯度 Camber完全退火 Full Annealing物理性能 Physical Properties物料科学 Material Science物料科学定义 Material Science Definition锡层质量 Mass of Tin Coating (JIS G3303-1987)锡基、铅基及锌基轴承合金比较表 Comparison of Tin base, Lead baseZinc base alloy for Bearing purpose细线材、枝材、棒材 Chapter Five Wire, Rod & Bar显微观察法 Microscopic inspection线材/枝材材质分类及制成品 ClassificationEnd Products of Wire/Rod线径、公差及机械性能(日本工业标准 G 3521) Mechanical Properties (JIS G 3521)相反旋转 Opposite span相律 Phase Rule锌包层之重量,铜硫酸盐试验之酸洗次数及测试用卷筒直径 Weight of Zinc-Coating, Number of Dippings in Cupric Sulphate TestDiameters of Mandrel Used for Coiling Test锌镀层质量 Zinc Coating Mass锌镀层质量(两个不同锌镀层厚度) Mass Calculation of coating (For differential coating)/MM锌镀层质量(两个相同锌镀层厚度) Mass Calculation of coating (For equal coating)/MM亚共晶体 Hypoeutetic Alloy亚铁磁体 Ferrimagnetism亚铁释体 Hyppo-Eutectoid延轧 Rolling颜色 Colour易车(快削)不锈钢 Free Cutting Stainless Steel易车(快削)不锈钢拉力表 Tensile Strength of Free Cutting Wires易车(快削)不锈钢种类 Type of steel易车不锈钢及易车钢之不同尺寸及硬度比较 Hardness of Different Types & Size of Free Cutting Steel易车碳钢 Free Cutting Carbon Steels (to JIS G4804 )易溶合金 Fusible Alloy应力退火温度 Stress –relieving Annealing Temperature应用材料 Material Used硬磁 Hard Magnetic硬磁材料 Hard Magnetic Material硬度 Hardness硬度及拉力 Hardness & Tensile strength test硬焊 Brazing Alloy硬化 Work Hardening硬化性能 Hardenability用含碳量分类 – 即低碳钢、中碳钢及高碳钢 Classification According to Carbon Contains用途 End Usages用组织结构分类 Classification According to Grain Structure幼珠光体 Fine pearlite元素的原子序数 Atom of Elements原子的组成、大小、体积和单位图表 The size, mass, charge of an atom,is particles (Pronton,NentronElectron)原子的组织图 Atom Constitutes原子及固体物质 Atomsolid material原子键结 Atom Bonding圆钢枝,方钢枝及六角钢枝之形状及尺寸之公差 Tolerance on ShapeDimensions for Round Steel Bar, Square Steel Bar,Hexagonal Steel Bar圆径及偏圆度之公差 Tolerance of Wire Diameters & Ovality圆面(“卜竹”)发条 Convex Spring Strip再结晶 Recrystallization正磁化率 Positive magnetic susceptibility枝/棒无芯磨公差表(μ)(μ = 1/100 mm) Rod/Bar Centreless Grind Tolerance枝材之美工标准,日工标准,用途及化学成份 AISI, JIS End UsageChemical Composition of Cold Drawn Carbon Steel Shafting Bar直径,公差及拉力强度 Diameter, ToleranceTensile Strength直径公差,偏圆度及脱碳层的平均深度 Diameter Tolerance, OvalityAverage Decarburized Layer Depth置换型固熔体 Substitutional type solid solution滞后回线 Narrow Hystersis。

211136222_氯化钠对石膏晶体生长习性影响的分子动力学模拟

211136222_氯化钠对石膏晶体生长习性影响的分子动力学模拟
morphology of gypsum was obtained through experimentsꎬ which was compared with the results of
MS. Results showed that sodium chlorides have varied effects on each crystal planes of gypsum
crystal planes and surface structureꎬ and to theoretically study the interaction mechanism between
sodium chloride and the main growth planes. The effect of sodium chloride on the crystal
基金项目: 多金属共生矿生态化冶金教育部重点实验室开放项目( NEMM2019002) .
作者简介: 孙志杰(1996 - ) ꎬ女ꎬ内蒙古赤峰人ꎬ东北大学硕士研究生ꎻ 史培阳(1968 - ) ꎬ男ꎬ辽宁铁岭人ꎬ东北大学副教授.
Copyright©博看网. All Rights Reserved.
能、面心距离和面积等参数ꎬ确定了其形态学上重要的生长晶面及其表面结构ꎬ理论研究了氯化钠溶液与石膏
晶体主要生长面之间的相互作用机制. 并通过实验得到氯化钠对石膏晶体形貌的影响ꎬ与分子动力学模拟结
果进行对照分析. 结果表明ꎬ由于氯化钠溶液对石膏晶体不同晶面的作用变化ꎬ可以显著改变石膏晶体形态ꎬ
模拟结果与实验一致ꎬ分子动力学模拟可以为晶体的形态控制提供理论基础.
第4 期
525
孙志杰等: 氯化钠对石膏晶体生长习性影响的分子动力学模拟

物理专业英语词汇F汇总

物理专业英语词汇F汇总

物理专业英语词汇(F) f band f 带f center f 心f center laser f 心激光器f center maser f心微波激射器f layer f 层f value振子强度f/d ratio f/d 比face centered crystal 面心晶体face centered cubic lattice 面心立方晶格face centered cubic structure 面心立方结构face centered lattice 面心点阵factor因子factor group 剩余群facula光斑faddeev equation法捷耶夫方程faddeev popov ghost法捷耶夫波波夫鬼态fading衰退;褪色fahrenheit scale 华氏温标fahrenheit temperature scale 华氏温标fahrenheit thermometer 华氏温度计fall降低fall of potential 电压降fall time下降时间falling sphere viscometer 落球粘度计fallout放射性沉降物false image 鬼象family 族family of asteroids 小行星族family of comets 彗星族fano factor 法诺因子far field pattern 远场图样far infrared远红外区far infrared laser远红外激光器far infrared radiation 远红外卜辐射far infrared rays 远红外卜线far point 远点far ultraviolet 远紫外区far ultraviolet laser 远紫外激光器far ultraviolet radiation 紫外线辐射far ultraviolet rays 远紫夕卜线farad 法faraday法拉第faraday cage法拉第笼faraday cell 法拉第盒faraday constant 法拉第常数faraday cup法拉第笼faraday dark space 法拉第暗区faraday effect 磁致旋光faraday rotation 磁致旋光faraday tube法拉第管faraday,s law法拉第定律farvitron线振质谱仪fast迅速的fast breeder reactor 快增殖堆fast fission快中子裂变fast fission effect快中子裂变效应fast fourier transform快速傅里叶变换fast neutron 快中子fast neutron reactor 快中子堆fast nova快新星fast reactor 快中子堆fatigue 疲劳fatigue limit疲劳极限fatigue strength 疲劳强度fcc structure面心立方结构feedback 反馈feedback amplifier 反馈放大器feedback circuit 反馈电路feedback control 反馈控制feedback factor 反馈系数feedback ratio 反馈比feeder馈电线femto 飞femtometer 费密femtosecond 飞秒femtosecond region 飞秒区域fermat,s principle 费马原理fermi费密fermi acceleration 费密加速fermi age费密年龄fermi dirac statistics 费密统计法fermi distribution 费密分布fermi energy 费密能fermi gas费密气体fermi glass费密玻璃fermi hole费密空穴fermi interaction 费密相互酌fermi level费密能级fermi liquid费密液体fermi particle 费密子fermi pasta ulam problem费密巴斯德乌拉姆问题fermi resonance 费密共振fermi selection rule 费密选择定则fermi statistics费密统计法fermi surface 费密面fermi temperature 费密温度fermi transition 费密跃迁fermi's golden rule费密黄金定律fermiology费密面学fermion费密子fermionic dark matter 费密子暗物质fermium 镄ferrielectricity 亚电性ferrimagnetic resonance 亚铁磁共振ferrimagnetism铁氧体磁性ferrite铁氧体ferrite magnetostrictive vibrator 铁氧体磁致伸缩振子ferro resonance 铁磁共振ferroelastic phase transition 铁弹性相变ferroelasticity 铁弹性ferroelectric 铁电的ferroelectric domain 铁电畴ferroelectric mode 铁电模ferroelectric phase transition 铁电相变ferroelectric semiconductor 铁电半导体ferroelectric substance 铁电性材料ferroelectricity 铁电性ferrofluid 铁磁铃ferromagnet 铁磁体ferromagnetic 铁磁的ferromagnetic dielectrics 铁磁电介质ferromagnetic fluid 铁磁铃ferromagnetic material 铁磁性材料ferromagnetic resonance 铁磁共振ferromagnetic substance 铁磁性材料ferromagnetic superconductor 铁磁超导体ferromagnetic thin film 铁磁薄膜ferromagnetism 铁磁性feynman diagram 费因曼图feynman path integral费因曼的路径积分feynman spectrum 费因曼谱ffag synchrotron固定场交变梯度回旋加速器fiber纤维fiber bundle 纤维丛fiber electrometer 悬丝静电计fiber laser纤维激光器fiber optics纤维光学fiber structure 纤维结构fibonacci semiconductor superlattice 菲博纳奇半导体超晶格fibril小纤维fibril structure 纤维结构fick,s law斐克定律fictitious spin quantum number 假想自旋量子数fictitious year 假年field场;体field adsorption 场吸附field current 励磁电流field density 场密度field desorption 场致退吸field distortion 场畸变field distribution 场的分布field effect transistor 场效应晶体管field electron emission 场致电子发射field emission 场致发射field emission microscope场致发射显微镜;自动电子显微镜field emitted electron 场致发射电子field equation 场方程field intensity 场强field ion microscope场致离子显微镜field lens 场镜field magnet 场磁铁field of forces 力场field of gravity 重力场field of view 视场field of vision 视场field operator 场算符field particle 场粒子field quantum 场量子field stop 场栏field strength 场强field structure 场结构field theory 场论fifth force第五种力fifth fundamental force 第五种基本力figure图形figure of merit 优值figure of noise 噪声指数file文件filled band 满带filled level满带能级filled shell 满壳层film软片film badge胶片剂量计film boiling膜态沸腾film dosimeter胶片剂量计film type condensation 膜状凝结filter滤器滤光器滤波器filtration 过滤final product最终产物final state interaction 终态相互酌final vacuum极限真空finder取景器finding telescope 寻星镜fine particle 微粒子fine structure 精细结构fine structure constant 精细结构常数fine structure splitting 精细结构劈裂finesse 锐度finite difference method 差分法finite element method 有限元法finite group 有限群finite universe 有限宇宙fireball 火球fireball model 火球模型first harmonics 基波first integral 初积分first law of thermodynamics 热力学第一定律first order phase transition 一级相转变first point of aries 春分点first quarter 上弦first resonance 一次共振first sound第一次声波fish finder鱼群探测器fissible可裂变的fissile可裂变的fissile nucleus可分裂的核fissility可分变性fission 分裂fission chain reaction 裂变链式反应fission chamber 裂变室fission counter 裂变计数器fission energy 裂变能量fission event裂变事件fission fragment 裂变碎片fission neutron 裂变中子fission yield裂变产额fissionable可裂变的fissionable nucleus 可裂变核 fissioning isomer 裂变同质异能素 fit适合five minute oscillations 五分钟振动 fixation 定影fixed capacitor固定电容器fixed point 定点fixed resistor固定电阻器fixed star 恒星fixing定影fixing solution 定影液fizeau fringe 菲佐条纹fizeau interferometer 菲佐干涉仪flame火焰flame photometer 火焰光度计flame photometry火焰光度法flame spectrum 火焰光谱flare太阳耀斑flare star 耀星flash闪光flash of light 闪光flash photolysis 闪烁光解flash spectrum 闪光光谱flat surface 平面flatness problem平坦性问题flattening 扁率flavor 味flexibility 挠性flexible polymer挠性聚合体flexural rigidity 弯曲刚度flexural strength 抗挠强度flexure 挠曲flexure vibration 弯曲振动flicker 闪烁flicker effect 闪烁效应flicker noise闪变噪声flicker photometer 闪烁光度计flight path飞越距离flight time飞越时间flint glass火石玻璃flip flop触发器flip flop circuit双稳态触发电路floating body 浮体floating point representation 浮点表示floating zone melting method 浮区熔炼法flocculation 凝聚flood and ebb 潮汐flooding 溢流floppy disk 软磁盘flow 流flow birefringence 怜双折射flow counter柳式计数管flow dichroism 怜二色性flow equation 怜方程flow parameter 怜参数flow pattern 镣flow proportional counter 怜正比计数器flow rate 量flow visualization 辽视化flowmeter 量计fluctuating force 涨落力fluctuation 起伏fluctuation dissipation theorem 涨落耗散定理fluctuon起伏量子fluent变数fluid 铃fluid dynamics 铃动力学fluid elasticity 水弹性fluid model 铃模型fluidal 铃的fluidic 铃的fluidity 怜性fluorescence 荧光fluorescence center 荧光中心fluorescence dosimeter 荧光剂量计fluorescence spectrophotometer 荧光分光光度计fluorescence spectrum 荧光光谱fluorescence yield 荧光产额fluorescent 萤光的fluorescent indicator tube 荧光指示管fluorescent lamp 荧光灯fluorescent material 萤光材料fluorescent radiation 特狰射fluorescent scattering 荧光散射fluorescent screen 荧光屏fluorescent x rays 荧光 x 射线fluorimeter 荧光计fluorine 氟fluorite structure 萤石型结构fluorography荧光照相法fluorometer 荧光计fluorometric analysis 荧光分析fluoroscope 荧光镜fluoroscopy荧光检查法fluorspar structure 萤石型结构flux通量flux creep磁通量蠕变flux density通量密度flux flow磁通量流flux jump磁通量跃变flux method 熔剂法flux motion 磁通量运动flux of energy 能通量flux of light 光通量flux of radiation 辐射通量flux pinning 通量锁住flux pump 通量泵flux quantization 磁通量量子化flux quantum磁通量子fluxmeter磁通计fluxoid磁通量子fluxon磁通量子fly苍蝇座flying spot electron microscope 扫描电子显微镜fm receiver档接受器focal distance 焦星巨focal length 焦星巨focal line 焦线focal plane 焦面focal point 焦点focal power 光焦度focal surface 焦曲面fock representation 福克表示fock space福克空间focometer焦距计focon聚焦锥focus焦点focused ion beam聚焦离子束focusing 倒focusing camera 倒照相机focusing coil聚焦线圈focusing cone 聚焦锥focusing lens 聚焦透镜focusing quadrupole magnet 聚焦四极磁铁focuson聚焦子foil 箔fokker planck equation 福克普朗克方程follow up control 随动控制foot pound second system 英尺磅秒单位制forbidden禁戒的forbidden band 禁带forbidden decay 禁戒衰变forbidden line 禁线forbidden lines in astrophysics 天体物理学中的禁线forbidden reflection 禁戒反射forbidden transition 禁戒跃迁forbiddenness 禁戒force 力force function 力函数force of attraction 弓|力force of gravity 重力force of inertia 惯性力force of repulsion 斥力force of rolling friction 滚动摩擦力force of sliding friction 滑动摩擦力force polygon力的多边形force triangle力的三角形forced circulation 强制循环forced convection 强制对流forced emission 强迫发射forced oscillations 受迫振荡forced vibration 受迫振荡forced vortex 强迫涡流fore vacuum 前级真空forecast 预报forecast of solar activity 太阳活动预告foreign atom 杂质原子forepump预备真空泵fork mounting 叉式装置form drag 型阻form factor形状因子formant共振峰formation of order 秩序形成formula 公式formulation 公式化fornax天炉座fortran程序语言forward scattering 前方散射foucault currents 涡电流foucault knife edge test 傅科刀口检验foucault's pendulum 傅科摆fountain effect 喷水效应four current 四维电流four dimensional space 四维空间four dimensional structure of the universe 宇宙四维结构four dimensionality 四维性four factor formula 四因子公式four force四维力four momentum 四维动量four potential 四维势four terminal network 四端网络four vector 四维矢four velocity四维速度four wave mixing 四波混合fourier component 谐波分量fourier integral傅里叶积分fourier number 傅里叶数fourier series傅里叶级数fourier spectroscopy 傅里叶光谱学fourier transform hologram傅里叶变换全息图fourier transform spectrometer 傅里叶光谱仪fourier transformation 傅里叶变换fourth sound第四次声波fractal 分形fractal dimension 分形维数fractional charge 分数电荷fractional crystallization 分级结晶fractional quantum hall effect 分数量子霍尔效应fracton分形子fracture 破裂fracture mechanics 断裂力学fragility 脆性frame antenna环形天线frame of reference 参考系francium 钫franck condon principle 富兰克康登原理franck hertz,s experiment 富兰克赫兹实验 frank read source弗朗克里德源franklin富兰克林fraunhofer diffraction 夫琅和费衍射fraunhofer hologram夫琅和费全息图fraunhofer line夫琅和费谱线fre量子free自由的free charge自由电荷free convection自由对流自然对流free electron自由电子free electron laser自由电子激光器free energy 自由能free energy of activation 激活的自由能free field自由场free free transition 自由自由跃迁free group自由群free gyroscope自由陀螺仪free motion自由运动free neutron自由中子free oscillation 自由振动free path自由程free pendulum 自由摆free rotation自由旋转free space自由空间free state自由态free surface 自由面free system 自由系free vibration 自由振动free volume自由体积free volume theory自由体积理论freedom 自由freezing 凝固freezing mixture 冷冻剂freezing point 凝固点frenkel defect夫伦克尔缺陷frenkel exciton 夫伦克尔激子frequency 频率frequency analysis 频率分析frequency band 频带frequency characteristic 频率特性frequency converter 变频器frequency converter tube 变频管frequency counter 频率计数器frequency divider 分频器frequency domain 频域frequency factor 频率因子frequency meter 频率计frequency modulation 档frequency multiplier倍频器频率倍增器frequency range 频率范围frequency response 频率响应frequency response method 频率特性法frequency shift 移频frequency spectrum 频谱frequency stability 频率稳定度frequency stabilized laser 稳频激光器frequency transfer function 频率传递函数fresnel diffraction 菲涅耳衍射fresnel half period zones 菲涅耳半周期带fresnel hologram菲涅耳全息图fresnel lens菲涅耳透镜fresnel prism 菲涅耳棱镜fresnel rhomb菲涅耳斜方系fresnel zone 菲涅耳带fresnel,s biprism菲涅耳双棱镜fresnel's dragging coefficient 菲涅耳曳引系数fresnel,s zone plate 菲涅耳波带片friction 摩擦friction coefficient 摩擦系数friction cone 摩擦锥friction layer 摩擦层friction loss摩擦损失friction of fluid lubrication 液体润滑摩擦frictional drag 摩擦阻力frictional electricity 摩擦电frictional force 摩擦力frictional oscillation 摩擦振动frictional resistance 摩擦阻力 friedel sum rule弗里德尔的求和定则 friedmann equation 弗里德曼方程friedmann universe弗里德曼宇宙frigorimeter 深冷温度计fringes with white light 白光干涉条纹froude number 弗劳德数frozen in magnetic field 冻结磁场frustrated total internal reflection 衰减全内反射frustration 抑止ft value ft 值fuel assembly燃料组件fuel cell燃料电池fuel cycle燃料循环fuel regeneration 燃料再生fuel reprocessing 燃料再生fuel rod燃料元件棒fugacity挥发性fulcrum 支点full load 满载full moon 望月full wave rectification 全波整流full width at half maximum 半宽度fullerene球壳状碳分子function 函数functional 泛函functional analysis 泛函分析functional ceramics 机能陶瓷functional derivative 泛函微分fundamental absorption 基本吸收fundamental catalog 基本星表fundamental constants 基本常数fundamental doublet 基本双重线fundamental frequency 基频率fundamental interaction 基本相互酌fundamental law 基本定律fundamental magnitude 基本量fundamental mode 关Bfundamental particle 基础粒子fundamental research 基础研究fundamental series 伯格曼系fundamental star 基本星fundamental theorem 基本定理fundamental tone 基音fundamental unit 基本单位furnace 炉furry,s theorem 弗里定理fuse熔断器保险丝fused quartz 熔融石英fusible alloy易熔合金fusing point 熔点fusion熔化fusion fission hybrid reactor核聚变裂变混合反应堆fusion point 熔点fusion reaction 聚变反应fusion reactor 热核堆fusion temperature 聚变温度。

液晶显示器行业内中英文对照表

液晶显示器行业内中英文对照表

附:缩略语中英文对照表AACF Anisotropic Conductive Film 各向异性导电薄膜ADC Analog-Digital Converter 模数转换器AES Auger Electron Spectrometer 俄歇电子能谱仪AFFS Advanced FFSAFLC Anti-Ferroelectric Liquid Crystal 反铁电液晶AMLCD Active Matrix Liquid Crystal Display 有源矩阵液晶显示器件AMOLED Active Matrix Organic Light Emitting Display 有源矩阵有机电致发光二极管APCVD Atmospheric Pressure Chemical Vapor Deposition常压化学气相沉积AP Plasma Atmospheric Pressure Plasma 常压等离子清洗AQK Aqua Knife 水刀清洗a-Si Amorphous Silicon 非晶硅AS-IPS Advanced-Super-In-Plane Switching 超高级面内切换宽视角技术BBCE Back Channel Etched 背沟道刻蚀型BEF Brightness Enhancement Film 增亮膜BEW Blurred Edge width 边界模糊区域宽度B/L Back Light 背光源BM Black Matrix 黑色矩阵或黑矩阵BS Back Channel Stop 背沟道保护型BJ Bubble Jet 气泡清洗方法,又被称为CJCCCD Charge Coupled Device 电荷耦合器件CCFL Cold Cathode Fluorescent Lamp(Light)冷阴极荧光灯CD Critical Dimension 显影后或刻蚀后的图形尺寸CF Color Filter 彩色滤光片CFI Color Filter Integration 彩色滤光片集成CIE Commission Internationale de l'Eclairage 国际照明委员会CJ Cabitation Jet 用加了高压的去离子水与空气混合后所产生的大量气泡来去除灰尘的一种清洗方法COA Color Filter on Array 阵列上彩色滤光片COF Chip On Film 薄膜芯片集成COG Chip On Glass 玻璃芯片集成COP Cycio Olefins Polymer 环烯烃聚合物CRT Cathode Ray Tube 阴极射线管CVD Chemical Vapor Deposition 化学气相沉积CSTN Color STN 彩色超扭曲向列型DDAP Depth AES Profiles 俄歇深度剖面分析D.C. Direct Current 直流DICD Development Inspection CD 显影后光刻胶之间的间距DI water Deionized water 去离子水DLDS Dynamical Low Discrepancy Sequences 网点图案生成方法DLP Digital Light Processing 数字光处理器DMD Digital Micromirror Device 数字微镜装置DRCR Contrast Ratio in Dark Room 暗室对比度EECR Electron Cyclotron Resonance电子回旋共振刻蚀EMI Electro Magnetic Interference 电磁干扰EML Emission layer 发光层EPD End Point Detection 刻蚀结束点的测量EPD Electronic Paper Display 电子纸张显示器件ESR Enhanced Specular Reflector 光学增强反射膜ETL Electron transport layer 电子传输层FFED Field Emitting Display 场致发射显示器FFD Feed Forward Drive 过驱动FFL Flat Fluorescent Lamp 平面荧光灯FFS Fringe Field Switching 边缘场转换宽视角技术FICD Final Inspection CD 刻蚀完成后被刻物质外观图形间距测试得到的尺寸FLC Ferroelectric Liquid Crystal 铁电液晶FPDM Flat Panel Display Measurements 平板显示测量方法FSC Field-Sequential Color 场序彩色GHHTL Hole transport layer 空穴传输层HTPS High Temperate Polycrystal Silicon 高温多晶硅IIC Integrate Circuit 集成电路ICM Illumination and Color Management 照明色彩管理IEC International Electrical Commission 国际电工委员会ILB Inner Lead Bonding 内引线焊接IPS In-Plane Switching 面内切换宽视角技术IR Infrared Ray 远红外线IS Inverted Staggered 反交叠结构ISO International Organization for Standardization 国际标准化组织ITO Indium Tin Oxide 锡掺杂氧化铟薄膜JKLLCOS Liquid Crystal On Silicon 硅基液晶(液晶反射式)LCD Liquid Crystal Display 液晶显示器LDD Lightly Doped Drain 微掺杂沟道型LDS Low Discrepancy Sequences 超均匀分布列理论LED Light Emitting Diode 发光二极管LGP Light Guide Plate 导光板LMD Light Measurement Device 光学测试仪器LPCVD Low Pressure Chemical Vapor Deposition 低压化学气相沉积LP-MBE Low Pressure Molecular Beam Epitaxy 低压分子束外延LRCR Contrast Ratio in Lighted Room 亮室对比度LTPS Low Temperate Polycrystal Silicon 低温多晶硅LVDS low V oltage Differential Signaling 低压差分信号MMCU Micro Control Unit 微控制单元MOS-FET Metal Oxide Silicon–Field Effect Transistor 金属-氧化物-硅场效应晶体管MPRT Moving Picture Response Time 运动图象响应速度MS Mega Sonic MHz的超声波清洗方法MV A Multi-domain Vertical Alignment 多畴垂直取向NNB Notebook 笔记本电脑NS Normal Staggered 正交叠结构NTSC National Television System Committee 国际电视系统委员会OOCB Optical Compensated Bending 光学补偿弯曲宽视角技术ODF One Drop Filling 液晶滴注OLB Outer Lead Bonding 外部引线连接OLED Organic Light Emitting Display 有机电致发光二极管OSD On Screen Display 屏幕菜单式调节PPAD 焊接衬垫PCB Print Circuit Board 印刷电路板PDA Personal Digital Assistant 个人数字处理机PDP Plasma Display Panel 等离子体显示屏PE Plasma Etching 等离子体刻蚀PECVD Plasma Enhanced Chemical Vapor Deposition 等离子体增强化学气相沉积PEP Photo Engraving Process 光刻工艺PI Polyimide 聚酰亚胺取向层PLED Polymer Light Emitting Diode 高分子有机电致发光显示器PMMA Polymethyl Methacrylate 聚甲基丙烯酸甲酯POL Polarizer 偏振片PR Photo Resist 光刻胶p-Si Polycrystal Silicon(Polysilicon) 多晶硅PV A Patterned Vertical Alignment 垂直取向构型PVA Polyvinyl Alcohol 聚乙烯醇PWM Pulse width modulation 脉冲宽度调制QQVGA Quarter Video Graphics Array 1/4视频圆形阵列(240×320象素)RRB Roll BRUSH 辊刷RF Radio Frequency 射频RF Power Radio Frequency Power 射频功率RGB Red Green Blue 红绿蓝RIE Reactive Ion Etching 反应离子刻蚀SSEM Scanning Electron Microscope 扫描电子显微镜SEMI Semiconductor Equipment and Materials International 国际半导体设备与材料协会SID Society for Information Display 信息显示协会S-IPS Super- In-Plane Switching 超级面内切换宽视角技术SMD Surface Mounted Device 表面贴装器件SOC System On Chip 芯片上系统SOG System On Glass 玻璃上系统SOP System On Panel 屏上系统SPC Solid Phase Crystallization 固相晶化法SPWG Standard Panel Working Group 屏标准化工作组SSFLC Surface Stabilized Ferroelectric Liquid Crystal 表面稳定化双稳态模式STN Super Twisted Nematic 超扭曲向列型SW Shower 喷淋清洗TTAB Tape Automated Bonding 带载自动连接TAC Triacetyl Cellulose 三醋酸纤维素TCON Timing Controller 时序控制器TCP Tape Carrier Package 带载封装TEM Transmission Electron Microscope 透射电子显微镜TFT-LCD Thin Film Transistor Liquid Crystal Display 薄膜晶体管液晶显示器TMDS Transition Minimized Differential Signaling 最小化传输差分信号TN Twisted Nematic 扭曲向列型UUS UltraSonic 超声波清洗UV UltraViolet lamp 紫外灯清洗VV A Vertical Alignment 垂直取向VESA Video Electronics Standards Association 视频电子标准协会WWB Wire Bonding 线连接XXPS X-ray Photoelectron Spectrom X射线光电子能谱分析YZ工业常用的英文缩写品质人员名称类QC quality control 品质管理人员FQC final quality control 终点质量管理人员IPQC in process quality control 制程中的质量管理人员OQC output quality control 最终出货质量管理人员IQC incoming quality control 进料质量管理人员TQC total quality control 全面质量管理POC passage quality control 段检人员QA quality assurance 质量保证人员OQA output quality assurance 出货质量保证人员QE quality engineering 品质工程人员品质保证类FAI first article inspection 新品首件检查FAA first article assurance 首件确认CP capability index 能力指数媵CPK capability process index 模具制程能力参数SSQA standardized supplier quality audit 合格供货商品质评估FMEA failure model effectiveness analysis 失效模式分析FQC运作类AQL Acceptable Quality Level 运作类允收品质水准S/S Sample size 抽样检验样本大小ACC Accept 允收REE Reject 拒收CR Critical 极严重的MAJ Major 主要的MIN Minor 轻微的Q/R/S Quality/Reliability/Service 品质/可靠度/服务P/N Part Number 料号藊L/N Lot Number 批号AOD Accept On Deviation 特采UAI Use As It 特采FPIR First Piece Inspection Report 首件检查报告PPM Percent Per Million 百万分之一制程统计品管专类SPC Statistical Process Control 统计制程管制SQC Statistical Quality Control 统计质量管理GRR Gauge Reproductiveness & Repeatability 量具之再制性及重测性判断量可靠与否DIM Dimension 尺寸DIA Diameter 直径N Number 样品数其它品质术语类QIT Quality Improvement Team 品质改善小组ZD Zero Defect 零缺点QI Quality Improvement 品质改善QP Quality Policy 目标方针TQM Total Quality Management 全面品质管理RMA Return Material Audit 退料认可7QCTools 7 Quality Control Tools 品管七大手法通用之件类ECN Engineering Change Notice 工程变更通知(供货商)ECO Engineering Change Order 工程改动要求(客户)PCN Process Change Notice 工序改动通知PMP Product Management Plan 生产管制计划SIP Standard Inspection Procedure 制程检验标准程序SOP Standard Operation Procedure 制造作业规范IS Inspection Specification 成品检验规范BOM Bill Of Material 物料清单PS Package Specification 包装规范SPEC Specification 规格DWG Drawing 图面系统文件类ES Engineering Standard 工程标准IWS International Workman Standard 工艺标准ISO International Standard Organization 国际标准化组织GS General Specification 一般规格部类PMC Production & Material Control 生产和物料控制PCC Product control center 生产管制中心PPC Production Plan Control 生产计划控制MC Material Control 物料控制DC Document Center 资料中心QE Quality Engineering 品质工程(部)QA Quality Assurance 品质保证(处)QC Quality Control 质量管理(课)PD Product Department 生产部LAB Laboratory 实验室IE Industrial Engineering 工业工程R&D Research & Design 设计开发部生产类PCs Pieces 个(根,块等)PRS Pairs 双(对等)CTN Carton 卡通箱PAL Pallet/skid 栈板PO Purchasing Order 采购订单MO Manufacture Order 生产单D/C Date Code 生产日期码ID/C Identification Code (供货商)识别码SWR Special Work Request 特殊工作需求L/N Lot Number 批号P/N Part Number 料号OEM Original Equipment Manufacture 原设备制造PC Personal Computer 个人计算机CPU Central Processing Unit 中央处理器A.S.A.P As Soon As Possible 尽可能快的E-MAIL Electrical-Mail 电子邮件N/A Not Applicable 不适用QTY Quantity 数量I/O input/output 输入/输出NG Not Good 不行,不合格C=0 Critical=0 极严重不允许APP Approve 核准,认可,承认CHK Check 确认ASS'Y Assembly 装配,组装T/P True Position 真位度5WIH When, Where, Who, What, Why, How to6M Man, Machine, Material, Method, Measurement, Message4MTH Man, Material, Money, Method, Time, How 人力,物力,财务,技术,时间(资源) SQA Strategy Quality Assurance 策略品质保证DQA Design Quality Assurance 设计品质保证MQA Manufacture Quality Assurance 制造品质保证SSQA Sales and service Quality Assurance 销售及服务品质保证LRR Lot Reject Rate 批退率DVD Digital Video DiskVCD Video Compact DiskLCD Liquid Crystal DisplayCAD Computer Aided DesignCAM Computer Aided ManufacturingCAE Computer Aided EngineeringPCB Printed Circuit Board 印刷电路板CAR Correction Action Report 改善报告NG Not Good 不良WDR Weekly Delivery Requirement 周出货要求PPM Percent Per Million 百万分之一TPM Total Production Maintenance 全面生产保养MRP Material Requirement Planning 物料需计划OS Operation System 操作系统TBA To Be Assured 待定,定缺D/C Drawing ChangeP/P Plans & ProcedureEMI Electrical-Music Industry 电子音乐工业Electrical Magnetic Interference 电子干扰RFI Read Frequency Input 读频输入MMC Maximum Material ConditionMMS Maximum Material Size XsLMC Least Material ConditionLMS Least Material Size UmLED lighting-emitting diode 发光二极管QBR Quarter Business RecordCIP Continuous improvement processFGI Forecasted Goal InventoryCNC Computerized numeral controllerB2C Business to customerB2B Business to businessAVL Approved vendor listPOP Procedure of packagingEOL End of lifeVDCS Vender defect correcting sheet PDCS Process defect correcting sheet GRN Goods receiving noteA/R Accounting receivableA/P Accounting payable。

微电子专业英语词汇

微电子专业英语词汇

AAbrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS) 砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation 雪崩激发Bbrute-force attack 强力攻击Background carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency 基区输运系数Base-width modulation 基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/ 体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCaesar cipher 凯撒加密法capacitance 电容capturecategorize 分类chaining mode 链接模式challenge 质询cipher feedback 加密反馈collision 冲突combine 集成compatibility n.[计]兼容性component 原件confidentiality 保密性constraint 约束corresponding to 相应的Cryptography 密码学Can 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Chargedrive/exchange/sharing/transfer/st1orage 电荷驱动/ 交换/ 共享/ 转移/ 存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/ 集电极/ 发射极连接Common-gate/drain/source connection 共栅/ 漏/ 源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路ComplementaryMetal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge) 导带( 底) Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion 恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible 坩埚Crystaldefect/face/orientation/lattice 晶体缺陷/ 晶面/ 晶向/ 晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/ 驱动/ 共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz 法直拉晶体J )Ddedicate 专用的,单一的denial of service(DOS)拒绝服务攻击diffusion 扩散digital signature algorithm 数字签名算法dynamic 动态的Dangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB) 分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/ 开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice )Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散2Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusioncapacitance/barrier/current/furnac e 扩散电容/ 势垒/ 电流/ 炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容istributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体oping concentration 掺杂浓度Double-diffusive MOS(DMOS) 双扩散MOS. Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/ 湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP )双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗Eexpertise 专长extractorEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship) 爱因斯坦关系Electric Erase ProgrammableRead Only Memory(E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resistexposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron V olt (eV) 电子伏Electrostatic 静电的Element 元素/ 元件/ 配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量- 动量(E-K) 图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic ( 低) 共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minoritycarriers 平衡多数/ 少数载流子Erasable Programmable ROM(EPROM) 可搽取(编程)存储器Error function complement 余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体Ffabrication伪造fleshed outFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting 正向阻断/ 导通Frequency deviation noise 频率3漂移噪声Frequency response 频率响应Function 函数GgridGain 增益Gallium-Arsenide(GaAs) 砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss (ian )高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生- 复合Geometries 几何尺寸Germanium(Ge) 锗Graded 缓变的Graded (gradual) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋- 潘模型Gunn - effect 狄氏效应Hhandle 处理hierarchical 层次Hardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor (HBT )异质结双极型晶体High field property 高场特性High-performance MOS.( H-MOS) 高性能MOS.Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成Iimplementinductance 电感initialization vector IV初始化向量integrity完整性interception 截获interruption中断Image - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂志散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET) 绝缘栅FET Integrated injection logic 集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions 国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性Jjava applet Java小程序Junction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁Kkey wrapping 密钥包装LLatch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Latticebinding/cell/constant/defect/distortion 晶格结合力/ 晶胞/ 晶格/ 晶格常熟/ 晶格缺陷/ 晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid -phase epitaxial growthtechnique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED) 发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型4Mmasquerade伪装message digest 消息摘要modification 修改multidrop 多站, 多支路Majority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law 质量守恒定律Master-slave D flip-flop 主从D 触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction 梳状发射极结Mean time before failure (MTBF) 平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor 金属半导体FET Metallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal 分子晶体Monolithic IC 单片IC MOSFET 金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块Multiplication coefficient 倍增因子N network level attack网络层攻击non-repudiation 不可抵赖Naked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/ 开Numerical analysis 数值分析Ooptimize 使最优化Occupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching 光猝灭Optical transition 光跃迁Optical-coupled isolator 光耦合隔离器Organic semiconductor 有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask 非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化Pparallelparasitic 寄生的partition [简明英汉词典]n.分割,划分, 瓜分, 分开, 隔离物vt.区分, 隔开, 分割presentation n.介绍, 陈述, 赠送,表达primitiveprivateprobablyproceedingprofoundpropertypseudocollision伪冲突Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂Pin 管脚5Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor 聚合物半导体Poly-silicon 多晶硅Potential ( 电) 势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB) 印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/ 调制Pulse Widen Modulator(PWM) 脉冲宽度调制Punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency 量子效应Quantum mechanics 量子力学Quasi –Fermi -level 准费米能级Quartz 石英Rrelease of message contents发布消息内容register 寄存器registration 注册, 报到, 登记resistance 电阻routingrunning key cipher 运动密钥加密法Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination 辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可*性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency 共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置Sscratchscratchpad缓存secret 密钥substrate 衬底synchronizesynthesizesymmetric key cryptography 对称密钥加密sophisticate 复杂的suspend 悬挂,延缓Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3 )Satellite valley 卫星谷Saturated current range 电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier可控硅Sendsitivity 灵敏度Serial 串行/ 串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须6Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT) 热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance 扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关Ttoken 令牌trace 追溯traffic analysis 分析通信量Trojan horse 特洛伊木马Tailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC 薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch 单向开关Vvarietyvectorverify 检验victoryverticalvia 通孔virus病毒Vacancy 空位Vacuum 真空Valence(value) band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWorm 蠕虫Wafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件YYield 成品率ZZener breakdown 齐纳击穿Zone melting 区熔法7。

英语热处理术语

英语热处理术语

H焊接welding, weld航空材料aerial material合成纤维synthetic fiber合金钢alloy steel合金化alloying合金结构钢structural alloy steel 黑色金属ferrous metal红硬性red hardness滑移slip, glide滑移方向glide direction, slip direction滑移面glide plane, slip plane滑移系slip system化合物compound化学气相沉积chemical vapour eposition (CVD)化学热处理chemical heat treatmentJ基体matrix机械混合物mechanical mixture 机械性能mechanical property激光热处理heat treatment with a laser beam 激光laser激光熔凝laser melting and consolidation激光表面硬化surface hardening by laser beam加工硬化work hardening加热heating胶粘剂adhesive结构材料structural material结晶crystallize, crystallization结晶度crystallinity金属材料metal material金属化合物metallic compound 金属键metallic bond金属组织metal structure金属结构metallic framework金属塑料复合材料plastimets金属塑性加工metal plastic?working金属陶瓷metal ceramic金相显微镜metallographic microscope, metalloscope金相照片metallograph晶胞cell晶格crystal lattice晶格常数lattice constant晶格空位lattice vacancy晶粒crystal grain晶粒度grain size晶粒细化grain refining晶体结构crystal structure聚四氟乙烯polytetrafluoroethy lene (PTFE)聚合度degree of polymerization 聚合反应polymerization绝热材料heat-insulating material 绝缘材料insulating materialK抗拉强度tensile strength抗压强度compression strength颗粒复合材料particle composite 扩散diffusion, diffuseL老化aging莱氏体ledeburite冷变形cold deformation冷加工cold work, cold working 冷却cool, cooling冷作硬化cold hardening离子ion粒状珠光体granular pearlite连续转变曲线continuous cooling transformation(CCT) curve孪晶twin crystal孪生twinning, twin螺旋位错helical dislocation洛氏硬度Rockwell hardnessM马氏体martensite (M)密排六方晶格close-packed xagonal lattice面心立方晶格face-centred cubic lattice摩擦friction磨损wear, abrade, abrasion模具钢die steelMf 点martensite finishing point Ms点martensite starting pointN纳米材料nanostructured materials 耐磨钢wear-resisting steel耐磨性wearability, wear resistance 耐热钢heat resistant steel , high temperature steel内耗internal friction内应力internal stress尼龙nylon粘弹性viscoelasticity凝固solidify, solidification扭转强度torsional strength扭转疲劳强度torsional fatigue strengthP泡沫塑料foamplastics, expanded plastics配位数coordination number喷丸硬化shot-peening疲劳强度fatigue strength疲劳寿命fatigue life片状马氏体lamellar martensite, plate type martensite普通碳钢ordinary steel, plain carbon steelQ气体渗碳gas carburizing切变shear 切削cut, cutting切应力shearing stress球化退火spheroidizing annealing 球墨铸铁nodular graphite cast iron, spheroidal graphite cast iron 球状珠光体globular pearlite屈服强度yielding strength, yield strength屈强比yielding-to-tensile ratio屈氏体troolstite (T)去应力退火relief annealingR热处理heat treatment热加工hot work, hot working热喷涂thermal spraying热固性thermosetting热塑性hot plasticity热硬性thermohardening柔顺性flexibility人工时效artificial ageing刃具cutting tool刃型位错edge dislacation, blade dislocation韧性toughness溶质solute溶剂solvent蠕变creep蠕墨铸铁quasiflake graphite cast iron软氮化soft nitridingX线结晶分析法X –ray crystal analyics method奥氏体Austenite奥氏体碳钢Austenite Carbon Steel奥氏铁孻回火Austempering半静钢Semi-killed steel包晶反应Peritectic Reaction包晶合金Peritectic Alloy包晶温度Peritectic Temperature薄卷片及薄片(至厚之片)机械性能Mechanical Properties of Thin Stainless Steel (Thickness from to )– strip/sheet杯突测试(厚度:公厘至公厘,准确至公厘3个试片平均数)Erichsen test (Thickness:to ,figure round up to )贝氏体钢片Bainite Steel Strip比电阻Specific resistivity & specific resistance比较抗磁体、顺磁体及铁磁体Comparison of Diamagnetism,Paramagnetic & Ferromagnetism比热Specific Heat比重Specific gravity & specific density边缘处理Edge Finish扁线、半圆线及异形线Flat Wire,Half Round Wire,Shaped WirePrecision Shaped Fine Wire扁线公差Flat Wire Tolerance变态点Transformation Point表面保护胶纸Surface protection film表面处理Surface finish表面处理Surface Treatment不破坏检验Non –destructive inspections不锈钢Stainless Steel不锈钢–种类,工业标准,化学成份,特点及主要用途Stainless Steel –Type,Industrial Standard,Chemical Composition,Characteristic & end usage of the most commonly used Stainless Steel不锈钢薄片用途例End Usage of Thinner Gauge不锈钢扁线及半圆线常用材料Commonly used materials for Stainless Flat Wire & Half Round Wire不锈钢箔、卷片、片及板之厚度分类Classification of Foil,Strip,Sheet & Plate by Thickness不锈钢材及耐热钢材标准对照表StainlessHeat-Resisting Steels不锈钢的磁性Magnetic Property & Stainless Steel不锈钢的定义Definition of Stainless Steel不锈钢基层金属Stainless Steel as Base Metal不锈钢片、板用途例Examples of End Usages of Strip,Sheet & Plate不锈钢片材常用代号Designation of SUS Steel Special Use Stainless不锈钢片机械性能(301,304,631,CSP)Mechanical Properties of Spring use Stainless Steel不锈钢应力退火卷片常用规格名词图解General Specification of Tension Annealed Stainless Steel Strips不锈钢之分类,耐腐蚀性及耐热性Classification,Corrosion Resistant & Heat Resistance of Stainless Steel材料的加工性能Drawing abillity插入型固熔体Interstital solid solution常用尺寸Commonly Used Size常用的弹簧不锈钢线-编号,特性,表面处理及化学成份StainlessSpring Wire –National Standard number,Charateristic,Surface finish & Chemical composition常用的镀锌钢片(电解片)的基层金属、用途、日工标准、美材标准及一般厚度Base metal,application,JIS & ASTM standard,Normal thickness of galvanized steel sheet 长度公差Length Tolerance超耐热钢Special Heat Resistance Steel超声波探伤法Ultrasonic inspection冲击测试Impact Test冲剪Drawing & stamping初释纯铁体Pro-entectoid ferrite处理及表面状况Finish & Surface纯铁体Ferrite磁场Magnetic Field磁畴Magnetic domain磁粉探伤法Magnetic particle inspection磁化率Magnetic Susceptibility (Xm)磁矩magnetic moment磁力Magnetic磁力Magnetic Force磁偶极子Dipole磁性Magnetisum磁性变态Magnetic Transformation磁性变态点Magnetic Transformation磁性感应Magnetic Induction粗珠光体Coarse pearlite淬火Quenching淬火及回火状态Hardened & Tempered Strip/ Precision – Quenched Steel Strip淬火剂Quenching Media单相金属Single Phase Metal单相轧压镀锡薄铁片(白铁皮/马口铁)Single-Reduced Tinplate弹簧不锈钢线,线径及拉力列表Stainless Spring Steel,Wire diameterTensile strength of Spring Wire弹簧用碳钢片CarbonSteel Strip For Spring Use弹簧用碳钢片材之边缘处理Edge Finished弹性限度、阳氏弹性系数及屈服点elastic limit,Yeung''s module of elasticity to yield point倒后擦发条Pull Back Power Spring导热度Heat conductivity低碳钢或铁基层金属Iron & Low Carbon as Base Metal低碳马氏体不锈钢Low Carbon Martensite Stainless Steel低温脆性Cold brittleness低温退火Low Temperature Annealing第二潜变期Secondary Creep第三潜变期Tertiary Creep第壹潜变期Primary Creep点焊Spot welding电镀金属钢片Plate Metal Strip电镀金属捆片的优点Advantage of Using Plate Metal Strip电镀锌(电解)钢片Electro-galvanized Steel Sheet电镀锌钢片的焊接Welding of Electro-galvanized steel sheet电镀锌钢片或电解钢片Electro-galvanized Steel Sheet/Electrolytic Zinc Coated Steel Sheet电解/电镀锌大大增强钢片的防锈能力Galvanic Action improving Weather & Corrosion Resistance of the Base Steel Sheet 电解冷轧钢片厚度公差Thickness Tolerance of Electrolytic Cold-rolled sheet 电炉Electric furnace电器及家电外壳用镀层冷辘[低碳] 钢片Coated (Low Carbon)Steel Sheets for Casing,Electricals & Home Appliances电器用的硅[硅] 钢片之分类Classification of Silicon Steel Sheet for Electrical Use电器用钢片的绝缘涂层Performance of Surface Insulation of Electrical Steel Sheets 电器用钢片用家需自行应力退火原因Annealing of the Electrical Steel Sheet电器用硅[硅] 钢片Electrical Steel Sheet电阻焊Resistance Welding定型发条Constant Torque Spring定型发条的形状及翻动过程ShapeSpring Back of Constant Torque Spring定型发条及上炼发条的驱动力Spring Force of Constant Torque SpringWing-up Spring定型发条驱动力公式及代号The FormulaSymbol of Constant Torque Spring 镀层质量标记Markings & Designations of Differential Coatings镀铬Chrome Plated镀黄铜Brass Plated镀铝(硅)钢片–美材试标准(ASTM A-463-77)JIS G3314镀热浸铝片的机械性能Mechanical Properties of JIS G 3314 Hot-Dip Aluminum-coated SheetsCoils镀铝(硅)钢片–日工标准(JIS G3314)Hot-aluminum-coated sheetscoils to JIS G 3314镀铝(硅)钢片及其它种类钢片的抗腐蚀性能比较Comparsion of various resistance of aluminized steel & other kinds of steel镀铝(硅)钢片生产流程Aluminum Steel Sheet,Production Flow Chart镀铝硅钢片Aluminized Silicon Alloy Steel Sheet镀铝硅合金钢片的特色Feature of Aluminized Silicon Alloy Steel Sheet镀镍Nickel Plated镀锡薄钢片(白铁皮/马日铁)制造过程Production Process of Electrolytic Tinplate 镀锡薄铁片(白铁皮/马口铁)(日工标准JIS G3303)镀锡薄铁片的构造Construction of Electrolytic Tinplate锻造Fogging断面缩率Reduction of area发条的分类及材料Power Spring Strip ClassificationMaterials发条片Power Spring Strip反铁磁体Antiferromagnetism方线公差Square Wire Tolerance防止生锈Rust Protection放射线探伤法Radiographic inspection非晶粒取向电力用钢片的电力、磁力、机械性能及夹层系数Lamination Factors of Electrical,Magnetic & Mechanical Non-Grain Oriented Electrical沸腾钢(未净钢)Rimmed steel分类Classification负磁力效应Negative effect钢板Steel Plate钢板订货需知Ordering of Steel Plate钢板生产流程Production Flow Chart钢板用途分类及各国钢板的工业标准包括日工标准及美材试标准Type of steel Plate & Related JIS,ASTMOther Major Industrial Standards钢材的熔铸、锻造、挤压及延轧The Casting,Fogging,Extrusion,Rolling & Steel钢的脆性Brittleness of Steel钢的种类Type of Steel钢铁的名称Name of steel钢铁的制造Manufacturing of Steel钢铁的主要成份The major element of steel钢铁生产流程Steel Production Flow Chart钢铁用“碳”之含量来分类Classification of Steel according to Carbon contents高锰钢铸–日工标准High manganese steel to JIS standard高碳钢化学成份及用途High Carbon Tool Steel,Chemical CompositionUsage 高碳钢片High Carbon Steel Strip高碳钢片用途End Usage of High Carbon Steel Strip高碳钢线枝High Carbon Steel Wire Rod (to JIS G3506)高温回火High Temperature Tempering格子常数Lattice constant铬钢–日工标准JIS G4104 Chrome steel to JIS G4104铬镍不锈钢及抗热钢弹簧线材–美国材验学会ASTM A313 –1987 Chromium –Nickel StainlessHeat-resisting Steel Spring Wire – ASTM A313 – 1987铬系耐热钢Chrome Heat ResistanceSteel铬钼钢钢材–日工标准G4105 62 Chrome Molybdenum steel to JIS G4105 各种不锈钢线在不同处理拉力比较表Tensile Strength of various kinds of Stainless Steel Wire under Different Finish工业标准及规格–铁及非铁金属Industrial Standard – Ferrous & Non – ferrous Metal公差Size Tolerance共晶Eutectic共释变态Eutectoid Transformation固熔体Solid solution光辉退火Bright Annealing光线(低碳钢线),火线(退火低碳钢线),铅水线(镀锌低碳钢线)及制造钉用低碳钢线之代号、公差及备注Ordinary Low Carbon Steel Wire,Annealed Low Carbon Steel Wire,Galvanized low Carbon Steel Wire & Low Carbon Steel Wire for nail manufacturing - classification,Symbol of Grade,ToleranceRemarks.硅含量对电器用的低碳钢片的最大好处The Advantage of Using Silicon low Carbon Steel滚焊Seam welding过共晶体Hyper-ectectic Alloy过共释钢Hype-eutectoid含硫易车钢Sulphuric Free Cutting Steel含铅易车钢Leaded Free Cutting Steel含铁体不锈钢Ferrite Stainless Steel焊接Welding焊接合金SolderingBrazing Alloy焊接能力Weldability 镀铝钢片的焊接状态(比较冷辘钢片)Tips on welding of Aluminized sheet in comparasion with cold rolled steel strip合金平衡状态Thermal Equilibrium厚度及阔度公差Tolerance on Thickness & Width滑动面Slip Plan化学成份Chemical Composition化学结合Chemical bond化学性能Chemical Properties化学元素Chemical element黄铜基层金属Brass as Base Metal回复柔软Crystal Recovery回火脆性Temper brittleness回火有低温回火及高温回火Low & High Temperature Tempering回火状态Annealed Strip基层金属Base Metal of Plated Metal Strip机械性能Mechanical Properites机械性能Mechanical properties畸变Distortion级别、电镀方法、镀层质量及常用称号Grade,Plating type,Designation of Coating Mass & Common Coating Mass级别,代号,扭曲特性及可用之线材直径Classes,symbols,twisting characteristicapplied Wire Diameters级别,代号及化学成份Classification,Symbol of GradeChemical Composition 挤压Extrusion加工方法Manufacturing Method加工性能Machinability简介General交换能量Positive energy exchange矫顽磁力Coercive Force金属变态Transformation金属材料的试验方法The Method of Metal inspection金属材料的性能及试验Properties & testing of metal金属的特性Features of Metal金属的相融、相融温度、晶体反应及合金在共晶合金、固熔孻共晶合金及偏晶反应的比较Equilibrium Comparision金属间化物Intermetallic compound金属结晶格子Metal space lattice金属捆片电镀层Plated Layer of Plated Metal Strip金属塑性Plastic Deformation金属特性Special metallic features金属与合金MetalAlloy金相及相律Metal PhasePhase Rule晶粒取向(Grain-Oriented)及非晶粒取向(Non-Oriented)晶粒取向,定取向芯钢片及高硼定取向芯钢片之磁力性能及夹层系数(日工标准及美材标准)Magnetic PropertiesLamination Factor of SI-ORIENT-CORE& SI-ORIENT-CORE-HI B Electrical Steel Strip (JISAISI Standard)晶粒取向电器用硅[硅] 钢;片–高硼低硫(LS)定取向钢片之磁力及电力性能MagneticElectrical Properties of SI-ORIENT-CORE-HI-B-LS晶粒取向电器用硅[硅] 钢片–高硼(HI-B)定取向芯钢片及定取向芯钢片之机械性能及夹层系数Mechanical PropertiesLamination Factors of SI-ORIENT-CORE-HI-BSI-ORIENT-CORE Grain Orient Electrical Steel Sheets晶粒取向电器用硅[硅] 钢片–高硼低硫(LS)定取向钢片之机械性能及夹层系数Mechanical PropertiesLamination Factors of SI-ORIENT-CORE-HI-B-LS晶粒取向电器用硅(硅)钢片–高硼(HI-B)定取向芯钢片,定取向芯钢片及高硼低硫(LS)定取向芯钢片之标准尺寸及包装Standard FormsSize of SI-ORIENT-CORE-HI-B,SI-CORE,& SI-ORIENT-CORE-HI-B-LS Grain-晶粒取向电器用硅(硅)钢片-高硼(HI-B)定取向芯钢片,定取向芯钢片及高硼低硫(LS)定取向芯钢片之厚度及阔度公差Physical Tolerance of SI-ORIENT-CORE-HI-B,SI-ORIENT-CORE,& SI-CORE-HI-B-LS Grain晶粒取向电器用硅钢片Grain-Oriented Electrical Steel晶粒取向电器用硅钢片主要工业标准International Standard –Grain-Oriented Electrical Steel Silicon Steel Sheet for Electrical Use晶体结构Crystal Pattern晶体结构,定向格子及单位晶格Crystal structure,Space lattice & Unit cell净磁矩Net magnetic moment绝缘表面Surface Insulation均热炉Soaking pit抗磁体Diamagnetism抗腐蚀及耐用Corrosion & resistance durability抗化学品能力Chemical Resistance抗敏感及环境保护Allergic,re-cycling & environmental protection抗热超级合金Heat Resistance Super Alloy扩散退火Diffusion Annealing拉尺发条Measure Tape拉伸测试(顺纹测试)Elongation test冷冲及冷锻用碳钢线枝Carbon Steel Wire Rods for Cold Heading & Cold Forging (to JIS G3507)冷拉钢板重量表Cold Drawn Steel Bar Weight Table冷拉钢枝材Cold Drawn Carbon Steel Shafting Bar冷拉高碳钢线Hard Drawn High Carbon Steel Wire冷轧钢片Cold-Rolled Steel Sheet/Strip冷轧高碳钢–日本工业标准Cold-Rolled (Special Steel)Carbon Steel Strip to JIS G3311冷轧或热轧钢片阔度公差Width Tolerance of Cold or Hot-rolled sheet冷轧状态Cold Rolled Strip冷辘(低碳)钢片的分类用、途、工业标准、品质、加热状态及硬度表End usages,industrial standard,quality,conditionhardness of cold rolled steel strip 冷辘低碳钢片(双单光片)(日工标准JIS G3141)73 - 95 Cold Rolled (Low carbon)Steel Strip (to JIS G 3141)冷辘钢捆片及张片的电镀和印刷方法Cold rolled steel coil & sheet electro-plating & painting method冷辘钢捆片及张片制作流程图表Production flow chart cold rolled steel coil sheet冷辘钢片(拉力:30-32公斤/平方米)在没有表面处理状态下的焊接状况Spot welding conditions for bared (free from paint,oxides etc)Cold rolled mild steel sheets(T/S:30-32 Kgf/ μ m2)冷辘钢片储存与处理提示General advice on handling & storage of cold rolled steel coil & sheet冷辘钢片的“理论重量”计算方程式Cold Rolled Steel Sheet – Theoretical mass 冷辘钢片订货需知Ordering of cold rolled steel strip/sheet理论质量Theoretical Mass连续铸造法Continuous casting process两面不均等锡层Both Side Different Thickness Coated Mass两面均等锡层Both Side Equally Coated Mass裂纹之容许深度及脱碳层Permissible depth of flawdecarburized layer临界温度Critical temperture马氏体不锈钢Martensite Stainless Steel马氏铁体淬火Marquenching埋弧焊Submerged-arc Welding每公斤发条的长度简易公式The Length of 1 Kg of Spring Steel Strip美材试标准的冷辘低碳钢片Cold Rolled Steel Strip American Standard –American Society for testingmaterials (ASTM)美国工业标准–不锈钢及防热钢材的化学成份(先数字后字母排列)AISI –Chemical Composition of Stainless Steel & Heat-Resistant Steel(in order of number & alphabet)。

集成电路专业英语词汇

集成电路专业英语词汇

Abrupt junction 突变结Accelerated test ing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulati on 积累、堆积Accumulat ing con tact 积累接触Accumulatio n regi积累区Accumulati on layer 积累层onActive regio n 有源区Active comp onent 有源元Active device 有源器件Activati on 激活Activati on en ergy 激活能Active regi on 有源(放大)区Admitta nee 导纳Allowed band 允带Alloy-j unction device 合金结器件Alumi nu m(Alumi nium) 铝Alumi num -oxide 铝氧化物Alu minum passivati on 铝钝化Ambipolar 双极的Ambie nt temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器An alogue(A nalog) comparator 模拟比较器An gstrom 埃Ann eal 退火An isotropic 各向异性的Anode 阳极Arse nic (AS) 砷Auger 俄歇Auger process 俄歇过程Avala nche 雪崩Avala nche breakdow n 雪崩击穿Avala nche excitatio雪崩激发nBackgro und carrier 本底载流子Backgro und dop ing 本底掺杂Backward 反向Backward bias 反向偏置Ballast ing resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base con tact 基区接触Base stretchi ng 基区扩展效应Base tran sit time 基区渡越时间Base tran sport efficie ncy 基区输运系数Base-width modulatio n 基区宽度调制Basis vector 甘/r 基人Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compo und semic on ductor 二元化合物半导体Bipolar 双极性的Bipolar Ju nction Tran sistor (BJT) 双极晶体管Bloch 布洛赫Block ing band 阻挡能带Block ing con tact 阻挡接触Body - cen tered 体心立方Body-ce ntred cubic structure 体立心结构Boltzma nn 波尔兹曼Bo nd 键、键合Bonding electro n 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boro n 硼Borosilicate glass 硼硅玻璃Boun dary con diti on 边界条件Bound electr on 束缚电子Breadboard 模拟板、实验板Break dow n —R 击穿Break over 转折Brilloui n 布里渊Brillo uin zone 布里渊区Built-in 内建的Build-i n electric field 内建电场Bulk 体/体内Bulk absorpti on 体吸收Bulk gen erati on 体产生Bulk recomb in ati on 体复合Bur n - in 老化Burn out 烧毁Buried cha nnel 埋沟Buried diffusi on region隐埋扩散区CanCapture cross secti on 外壳俘获截面Capacita neeCapture carrier电容俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-i n bit 进位输入Carry-out bit 进位输出Cascade 级联Case 官冗Cathode 阴极Cen ter 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdow n 沟道击穿Channel curre nt 沟道电流Channel dop ing 沟道掺杂Channel shorte ning 沟道缩短Channel width 沟道宽度Characteristic impeda nee 特征阻抗Charge 电何、充电Charge-compe nsati on effects 电荷补偿效应Charge con servati on 电荷守恒Charge n eutrality con diti on 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/ 交换/ 共享/ 转移/ 存储Chemmical etch ing 化学腐蚀法Chemmically-Mecha ni cally Polish (CMP) Chip yield 芯片成品率Clampi ng diode 箝位二极管Clock rate 时钟频率Clock flip-flop 时钟触发器Close-loop gain 闭环增益Collisio n 碰撞Common-base/collector/emitter connection Common-gate/dra in/source connection Common-m ode gain 共模增益Common-m ode rejectio n ratio (CMRR)Chemically-Polish 化学抛光化学机械抛光Chip 芯片Clamped 箝位Cleavage pla ne 解理面Clock gen erator 时钟发生器Close-packed structure 密堆积结构Collector 集电极Compe nsated OP-AMP 补偿运放共基极/集电极/发射极连接共栅/漏/源连接Common-m ode in put 共模输入Compleme ntary Metal-Oxide-Semico nductor Field-Effect-Tra nsistor(CMOS) 互补金属氧化物半导体场效应晶体管Compleme ntary error fun ctio n 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制化合物半导体Con ducta nee 电导导带(底)Conduction level/state 导带态Con ductor 导体Con figurati on 组态Con pled Con figurati on Devices等能面Con sta nt-source diffusion 恒定源扩散电流密度Curvature截止Current drift/dirve/sharingCurrent Sense Custom in tegrated circuit Czochralshicrystal Czochralski tech nique电流取样定制集成电路直立单晶切克劳斯基技术CurvatureCyli ndrical(Cz法直拉晶体J)悬挂键空载时间德布洛意分贝深受主能级深度杂质能级Dark curre ntDebye len gthDecderateDecodeDeep donor levelDeep trap暗电流德拜长度减速译码深施主能级深陷阱Con tactContinu ity equati onCon tact pote ntial 接触连续性方程接触电势Con tam in ati onCon tact holeContinuity con ditionContra dop ingCon verter反掺杂Con trolled 转换器Con veyerCopper in terc onnection system 铜互连系统CoupingCovale nt Critical共阶的Crossover临界的Crossu nder治污接触孔连续性条件受控的传输器耦合跨交穿交Crucible 坩埚Crystal defect/face/orie ntation/lattice 晶体缺陷/晶面/晶向/晶Compatibility 兼容性Compe nsated impurities 补偿杂质Compleme ntary Darlington circuitCompe nsati on 补偿Compe nsated semic on ductor互补达林顿电路补偿半导体Compo und Semic on ductorCon duct ion band (edge)Con ductivityCon lomb结构组态Con sta nts 电导率库仑物理常数Con sta nt en ergy surface 格Current den sityCut off曲率电流漂移/驱动/共享弯曲柱面的Dan gli ng bonds Dead timeDe.broglieDecibel (dB)Deep acceptor level Deep impurity levelDefeat Dege nerate semic on ductorDegradati on 退化 缺陷简并半导体 Dege neracy Degree Celsius(ce ntigrade) /Kelvin 简并度 摄氏/开氏温度Delay Den sity of states 延迟态密度Den sity Depleti on 密度耗尽Depleti on approximati on 耗尽近似 Depleti on con tact 耗尽接触Depleti on depth Depleti on layer Depleti on regi onDepositi onprocessDie Dielectric Differe nee-mode input 耗尽深度 耗尽层 耗尽区 淀积工艺 芯片(复数dice ) 介电的 差模输入 Depleti on effect Depletio n MOS Deposited film Desig n rules Diode Dielectric isolatio n Differe ntial amplifier耗尽效应 耗尽MOS 淀积薄膜 设计规则二极管 介质隔离差分放大器 Differen tial capacitanee Diffusio n Diffusi on con sta nt微分电容 扩散 扩散常数 Diffusi on capacita nce/barrier/curre nt/furnace Digital circuit 数字电路 Dipole layer 偶极层 Diffused jun ction 扩散结 Diffusi on coefficie nt 扩散系数 Diffusivity 扩散率扩散电容/势垒/电流/炉 偶极畴 直接耦合Dipole doma in Direct-coupli ngDirect-gap semic on ductor 直接带隙半导体 Direct tran sition直接跃迁Discharge放电 Discrete component分立元件Dissipati on Distributed capacita nee Displaceme nt 位移 Domai n 畴 施主耗尽 掺杂半导体 双扩散MOS. 耗散 分布电容 Distributi onDistributed model Dislocati on Donor Donor exhausti on Doped semic on ductor Double-diffusive MOS(DMOS) Drift Drift mobility Dry/wet oxidati on Duty cycle Dyn amicsDopa ntDopi ng concen tratio n分布分布模型 位错 施主 掺杂剂掺杂浓度漂移 Drift field 迁移率 干/湿法氧化Dry etch ing Dose 工作周期 Dual-in-I ine package 动态Dyn amiccharacteristics漂移电场 干法腐蚀 剂量(DIP )双列直插式封装动态属性Early effect Effective massElectric Erase Programmable Read Only Memory(E2PROM)储器Electrode电极 Wlectromin ggratim电迁移 Electro n affinity电子亲和势Electronic -grade电子能Electr on-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electr on gas电子气 Electro n-grade water电子级纯水 Electr on trapp ing cen ter 电子俘获中心Electro n Volt (eV) 电子伏 Electrostatic 静电的Eleme nt兀素/兀件/配件 Eleme ntal semic on ductor 兀素半导体 Ellipse椭圆Ellipsoid椭球Emitter 发射极 Emitter-coupled logic 发射极耦合逻辑 Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band空带Emitter crowdi ng effect 发射极集边(拥挤)效应En dura nee test =life test 寿命测试 En ergy state能态Energy momentum diagram 能量-动量(E-K) 图 Enhancement mode 增强型模式En ha nceme nt MOS 增强性MOS En tefic (低)共溶的En viro nmen tal test 环境测试 Epitaxial 外延的 Epitaxial layer 外延层 Epitaxial slice 外延片 Expitaxy外延Equivale nt curcuit等效电路Equilibrium majority /mi nority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM) 可搽取(编程)存储器Error function compleme nt余误差函数Etch 刻蚀 Etcha nt刻蚀剂Etch ing mask 抗蚀剂掩模 Excess carrier过剩载流子 Excitati on en ergy 激发能 Excited state 激发态 Excito n激子 Extrapolati on外推法Extri nsic 非本征的Extri nsic semic on ductor杂质半导体Face - cen tered 面心立方 Fall time下降时间Fan-in扇入 Fan-out扇出 Fast recovery 快恢复 Fast surface states 快界面态 Feedback反馈Fermi level 费米能级Fermi-Dirac Distributi on 费米-狄拉克分布 Femi pote ntial费米势 Fick equati on 非克万程(扩散) Field effect tran sistor场效应晶体管 Field oxide 场氧化层 Filled band满带 Film薄膜Flash memory闪烁存储器Dyn amic impeda nee动态阻抗厄利效应 Early failure 有效质量Ein ste in relation( ship) 早期失效爱因斯坦关系 一次性电可擦除只读存Flat band平带Flat pack扁平封装Flicker no ise 闪烁(变)噪声 Flip-flop toggle触发器翻转 Floati ng gate 浮栅 Fluoride etch 氟化氢刻蚀 Forbidde n band 禁带 Forward bias 正向偏置 Freque ncy response 频率响应 Fun cti on函数 Gai n增益 Gallium-Arse nide(GaAs) 砷化钾 Gamy ray r 射线 Gate 门、栅、控制极 Gate oxide 栅氧化层 Gauss ( ian ) 咼斯Forward blocking/conducting 正向阻断 / 导通 Freque ncy deviati on no ise 频率漂移噪声 Gaussia n distributi on profile 高斯掺杂分布 Gen erati on-recomb in ati on 产生-复合 Geometries Graded Graded junction Gradie nt Guard ring Gunn - effect 几何尺寸 缓变的 缓变结 梯度 保护环 狄氏效应Germa nium(Ge) Graded (gradual) cha nnel Grain Grow n jun ctio n Gummel-Poom model锗 缓变沟道 晶粒 生长结 葛谋-潘模型Harde neddevice Heat sink Heavy saturati onHeteroj un ctio n 辐射加固器件散热器、热沉 重掺杂 异质结 Heteroj un ctio n Bipolar Tran sistor High field property 高场特性 High-performa nee MOS.( H-MOS) Horiz on tal epitaxial reactorHeat of formati on Heavy/light hole band Hell - effectHeteroj unctionstructure(HBT )异质结双极型晶体高性能MOS. 卧式外延反应器 Hormalized Hot carrior Hybrid in tegrati on 混合集成 形成热 重/轻空穴带 霍尔效应异质结结构归一化 热载流子 Image - force Impeda nee Impla ntati on dose Impurity In creme ntal resista nee In dium tin oxide (ITO) In frared 镜象力 阻抗 注入剂量 杂质 In put offset voltage In sulated Gate FET(IGFET) 电阻增量(微分电阻) 铟锡氧化物 红外的输入失调电压 绝缘栅FETImpact ioni zati on Imperfect structure Impla nted ion Impurity scatteri ng In-con tact mask In duced cha nnelInjectio nIn sulatorIn tegrated inject ionlogic碰撞电离 不完整结构 注入离子 杂志散射 接触式掩模 感应沟道 注入 绝缘体集成注入逻辑In tegrati on In terc onn ecti on time delay集成、积分互连延时In terc onn ecti on In terdigitated structure互连交互式结构In terfaee 界面 Intern ati onal system of unionsIn terfere nee国际单位制 In ternally seatteringMegeto - resista nee磁阻 Mesa台面MESFET-Metal Semico nductor 金属半导体FETMetallizatio n 金属化 Microeleetr onic tech nique微电子技术 Microeleetr onics 微电子学 Mille n in dices密勒指数 Minority carrier少数载流子Misfit失配Inversion 反型 In verter 倒相器Ion 离子 Ion beam离子束Ion etch ing 离子刻蚀 Ion impla ntatio n 离子注入 loni zati on 电离 loni zati on en ergy 电离能 Irradiati on 辐照Isolati on land隔离岛Isotropic各向同性Junction FET(JFET) 结型场效应管 Junction isolati on 结隔离 Junction spaci ng结间距Junction side-wall结侧壁Latch up 闭锁 Lateral 横向的 Lattice晶格Layout版图In verse operati on 晶格结合力/晶胞/晶格/晶格常熟Lattice bin di ng/cell/c on sta nt/defect/distorti on干涉谷间散射Intrin sie In terpolati on 内插法In tri nsic semic on ductor 本征半导体本征的 反向工作/晶格缺陷/晶格畸变 Leakage curre nt (泄)漏电流 Life time寿命 共价键 Lin ked bond Liquid — phase epitaxial growth tech niqueLithography光刻 Load line or Variable 负载线纵向的 洛沦兹Level shift ing lin earity Liquid Nitroge n液相外延生长技术电平移动 线性度 液氮Lon gitudinal Lore ntz Light Emitti ngDiode(LED)Locati ng and Wiring Logic swi ng Lumped model发光二极管 布局布线 逻辑摆幅 集总模型 Majority carrier Mask level Mass - action law 多数载流子 掩模序号 质量守恒定律匹配 平均自由程 Mask 掩膜板, 掩模组光刻板 Matchi ng Mean free pathMea n time before failure (MTBF) Mask set Master-slave D flip-flopMaxwell麦克斯韦Mean dered emitter junction平均工作时间主从D 触发器梳状发射极结Mismatchi ng 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal 分子晶体Mon olithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Tran sistor(MOST )MOS. 晶体管Multiplicati on 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块Multiplicatio n coefficie nt 倍增因子Naked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resista nee 负阻Nest ing 套刻Negative-temperature-coefficie nt 负温度系数Noise margin 噪声容限Non equilibrium 非平衡No nrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical an alysis 数值分析Occupied band 满带Officie nay 功率Offset 偏移、失调On sta ndby 待命状态Ohmic con tact 欧姆接触Open circuit 开路Operati ng point 工作点Operat ing bias 工作偏置Operatio nal amplifier (OPAMP) 运算放大器Optical phot on -phot on 光子Optical que nching 光猝火Optical tran siti on 光跃迁Optical-coupled isolator 光耦合隔离器Organic semic on ductor 有机半导体Orien tati on 晶向、定向Outli ne 外形Out-of-co ntact mask 非接触式掩模Output characteristic 输出特性Output voltage swi ng 输出电压摆幅Overcompe nsati on 过补偿Over-curre nt protectio n 过流保护Over shoot 过冲Over-voltage protect ion 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidati on 氧化Oxide passivati on 氧化层钝化Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillati on 寄生振荡Pass in ati on 钝化Passive comp onent 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic tran sistor 寄生晶体管Peak-po int voltage 峰点电压Peak voltage 峰值电压Perma nen t-storage circuit : 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo con duct ion 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoe nic devices 光子器件Photolithographic process 光;(光敏)抗腐蚀剂 Pin夹断Pinning of Fermi levelPlanar process 平面工艺 Planar tran sistor 平面晶体管 Plasma等离子体 Plezoelectric effect 压电效应Poiss on equati on 泊松方程 Point con tact 点接触Polarity极性Polycrystal多晶Polymer semic on ductor 聚合物半导体Poly-silic on 多晶硅 Pote ntial (电)势Pote ntial barrier 势垒Pote ntial well 势阱 Power dissipati on 功耗 Power tran sistor 功率晶体管 Preamplifier 前置放大器Primary flat 主平面Prin cipal axes 主轴Prin t-circuit board(PCB)印制电路板Probability 几率Probe探针Process工艺Propagati on delay 传输延时 Pseudopote ntial method 膺势发 Punch through 穿通Pulse triggeri ng/modulat ing脉冲触发/调制PulseWiden Modulator(PWM) 脉冲宽度调制Pun chthrough 穿通 Push-pull stage 推挽级Quality factor品质因子Quan tizatio n量子化Qua ntum量子 Quan tum efficie ncy量子效应 Quan tum mecha nics 量子力学Quasi -Fermi—level 准费米能级 Quartz 石英Radiati on conductivity辐射电导率 Radiatio n damage辐射损伤Radiati on flux den sity 辐射通量密度 Radiation harde ning 辐射加固 Radiati on protect ion 辐射保护Radiative - recomb in ati on 辐照复合Radioactive 放射性 Reach through穿通 Reactive sputteri ng source 反应溅射源 Read diode里德二极管 Recomb in ati on 复合 Recovery diode 恢复二极管 Reciprocal lattice 倒核子Recovery time 恢复时间 Rectifier 整流器(管) Rectify ing con tact 整流接触 Referenee 基准点基准参考点Refractive in dex 折射率 Register 寄存器 Registrati on对准Regulate 控制调整 Relaxati on lifetime驰豫时间 Reliability 可靠性 Resonance 谐振 Resista nee 电阻 Resistor 电阻器 Resistivity 电阻率 Regulator稳压管(器) Relaxatio n驰豫Resonant freque ncy共射频率 (photo) resist Pin ch off管脚费米能级的钉扎(效应)Resp onse timeReverse bias 响应时间 反向偏置Reverse 反向的Sampli ng circuit Satellite valley 取样电路 卫星谷Sapphire 监宝石(Al2O3 )Saturated curre nt range电流饱和区Saturati on regi onScaled dow n Schockley diode Schottky barrier Schrodi ngen Secon dary flat Seed crystalSelectivity 饱和区 按比例缩小 肖克莱二极管 肖特基势垒 薛定厄 次平面籽晶 选择性 Saturati on Scatteri ng Schottky Schottky con tactScrib ing grid 饱和的 散射 肖特基肖特基接触 划片格 Segregati onSelf alig ned 分凝 自对准的Self diffusi on Semic on ductor-c on trolled rectifier Serial自扩散 Settle time Shield Shot no ise Sidewall capacita nee Silica glass Silic on carbide Silicon Nitride(Si3N4)串行/串联 建立时间 屏蔽 散粒噪声 边墙电容 石英玻璃 碳化硅 氮化硅 Semic on ductor可控硅 Sen dsitivitySeries in ducta nee Sheet resista nee Short circuit Shu ntSig nalSilicon 半导体 灵敏度 串联电感 薄层电阻短路分流 信号 硅Silico n dioxide (SiO2) Silic on On In sulator 二氧化硅 绝缘硅Siliver whiskers Sin gle crystal Ski n effect Sn eak path Solar battery/cell Solid Solubility Source Space charge Speed-power product Spi n Spontan eous emissi onSputter Static characteristic 银须 单晶 趋肤效应 潜行通路 太阳能电池 固溶度 源极 空间电荷 速度功耗乘积 自旋自发发射溅射 静态特性 Simple cubic Si nk Snap time Sulethreshold Solid circuit Sonband Source follower Specific heat(PT) Spherical Split简立方 沉急变时间 亚阈的 固体电路 -H-P子带源随器 执八、、 球面的分裂Spreadi ng resista nee 扩展电阻Stacki ng fault层错Stimulated emissio n 受激发射 Stimulated recomb in ation 受激复合 Storage time 存储时间Stress 应力Straggle偏差Sublimati on 升华Substrate 衬底Substituti onal 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switch ing time 开关时间Switch 开关Taili ng 扩展Termi nal 终端Tensor 张量Ten sorial 张量的Thermal activati on 热激发Thermal con ductivity 热导率Thermal equilibrium 热平衡Thermal Oxidati on 热氧化Thermal resista nee 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film tech nique 厚膜技术Thin-film hybrid IC 薄膜混合集成电路Thi n-Film Tran sistor(TFT) 薄膜晶体Threshlod 阈值Thyistor 晶闸管Transcon ducta nee 跨导Tran sfer characteristic 转移特性Tran sfer electron 转移电子Tran sfer function 传输函数Tran sie nt 瞬态的Tran sistor agi ng(stress) 晶体管老化Tran sit time 渡越时间Tran siti on 跃迁Tran siti on-metal silica 过度金属硅化物Tran siti on probability 跃迁几率Tran siti on regi on 过渡区Tran sport 输运Tran sverse 横向的Trap 陷阱Trapp ing 俘获Trapped charge 陷阱电荷Trian gle gen erator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusi on 三重扩散Truth table 真值表Tolerahce 容差Tunn el( ing) 隧道(穿)Tunnel curre nt 隧道电流Turn over 转折Turn - off time 关断时间Ultraviolet 紫外的Unijun cti on 单结的Un ipolar 单极的Unit cell 原(元)胞Uni ty-ga in frequency单位增益频率Un ilateral-switch 单向开关Vacancy 空位Vacuum 真空Vale nce(value) band 价带Value band edge 价带顶Vale nee bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibratio n 振动Voltage 电压Wafer 晶片Wave equati on 波动方程Wave guide 波导Wave nu mber 波数Wave-particle duality Wire rout ing波粒二相性布线Wear-outWork function烧毁功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdow n 齐纳击穿Zone melti ng 区熔法。

SCHOTTKY TYPE FIELD EFFECT TRANSISTOR

SCHOTTKY TYPE FIELD EFFECT TRANSISTOR
申请人:NIPPON DENKI KK
更多信息请下载全文后查看
Байду номын сангаас
专利内容由知识产权出版社提供
专利名称:SCHOTTKY TYPE FIELD EFFECT TRANSISTOR 发明人:KONDOU MOTOKI 申请号:JP786983 申请日:19830120 公开号:JPS59132681A 公开日:1984 0730
摘要:PURPOSE:To enable to improve the drain withstand voltage and prevent the current concentration at the end of a drain electrode by a method wherein a low resistant region immediately under a source electrode is made deeper than that immediately under the drain electrode, and the low resistant region immediately under the drain electrode is formed shallower than the depth of an active layer. CONSTITUTION:Since the deep low resistant layer 9 reaching a semiinsulation layer 1 across the active layer 2 exists in the region immediately under the source electrode 8, source-gate resistance reduces very much, and then the increase of output and high gain are obtained. Besides, the low resistant region 10 immediately under the drain electrode 14 is shallower than the active layer 2, therefore a depletion layer produced between the gate and drain at the time of impressing a gate bias voltage widely extends to under the shallow low resistant region 2. Accordingly, the drain withstand voltage increases and flows to the drain electrode 14 not only from the side surface of the low resistant region 10 under the drain electrode 14 but from the bottom surface, resulting in enabling to prevent the concentration of the drain current, particularly end concentration.

航海及海运专业英语词汇(T2)_交通运输英语词汇

航海及海运专业英语词汇(T2)_交通运输英语词汇

teleprocessing 远程处理telepsychrometer 遥测干湿表teleran 电视雷达导航仪teleran 电视雷达航空导航telerecording 电视录像telerecording 遥测记录telescope arm 望远镜telescope callipers 光学测微仪telescope mast 伸缩桅telescope pipe 伸缩套管telescope shade 望远镜色片telescope topmast 伸缩桅telescope tube 伸缩套管telescope 叠进telescope 望远镜telescoped bulkhead 伸缩式舱壁telescoped ice 叠冰telescoped joint 伸缩接合telescopic alidade 带望远镜的方位镜望远镜方位仪telescopic alidade 望远镜方位仪telescopic atenna 伸缩天线telescopic boom 伸缩臂telescopic cover 伸缩罩telescopic derrick 无缝吊杆(从中心向端telescopic funnel 伸缩烟筒telescopic gangway 伸缩跳板telescopic girder 伸缩梁telescopic hatch cover 伸缩式舱口盖telescopic joint 伸缩接合telescopic mast 可伸缩桅telescopic mast 伸缩桅telescopic mounting 套管式装配telescopic objective 望远物镜telescopic oil cylinder 伸缩油缸telescopic pipe 伸缩套管telescopic pipe 伸缩套管伸缩)套管telescopic pipe 套管telescopic shaft 伸缩套筒telescopic shaft 伸缩轴telescopic spreader 伸缩式吊具telescopic topmast 伸缩桅telescopic tripod 伸缩式三脚架telescopic tube 伸缩)套管telescopic vent 伸缩通风口telescopic vent 伸缩通气管telescopic 套管的telescopic 望远镜的telescopic 望远望的可伸缩的telescopii 望远镜星座的telescoping gauge 伸缩规telescoping screw 套管螺旋telescoping 可伸缩式telescoping 套管式telescoping-boom crane 可伸缩吊杆起重机telescopium 望远镜星座telescopy 望远镜学telescrile 电话录音机teleset 电视机teleset 电视接收机telesignalization 远距离信号设备telestar 电视卫星teleswitch 遥控键teleswitch 遥控开关teletachometer 遥测转速表teletachometer 遥测转速计teletex communication 图文传真通信teletext 电文广播teletext 用户电视电报telethermometer 遥测温度表telethermometer 遥测温度计telethermoscope 遥测温度器teletorque 一种自动同步机teletraffic 长途业务teletype communication 电传通信teletype exchange service 电传交换业务teletype input-output device 电传打字输入-输出设备teletype machine 电传打字机teletype operator 电传机操作员teletype punch 电传穿孔机teletype punch 电传穿孔器teletype switching set 电传交换机teletype tape 电传打字带teletype writer exchange message 电传打字交换通信teletype writer 电传打字机teletype 电传打字teletype 电传打字电报机teletype 电传打字电传打字机teletype 电传打字机teletypewriter automatic dispatch system 电传打字机自动发送系统teletypewriter 电传打字电报机teletypewriter 电传打字机teletypewriter 电传打字机电报打字机teleview 电视television and infrared observing satellite 电视和红外幅射观测卫星television and radar navigation 电视雷达导航仪television and radar navigation 电视雷达导航仪雷达电视导航television and radar navigation 雷达电视导航television audio 电视伴音television band 电视频带television broadcast satellite 电视广播卫星television broadcast 电视广播television broadcasting station 电视广播电台television broadcasting station 电视广播台television broadcasting station 电视广播台电视广播电台television cable relay 有线中继电视television cable 电视电缆television camera 电视摄像机television channel 电视频道television directed 用电视摄像机television image 电视图像television image 电视图象television indicator 电视显示器television interference 电视干扰television lighting 电视照明television mast 电视塔television monitor 电视监视器television picture-phone 电视电话television program 电视广播节目television radar air navigation 电视雷达航空导航television radar navigation system 电视雷达导航系统television radar navigation 电视雷达导航television radio relay 无线电中继电视television receiver 电视机television receiver 电视接收机television receiving antenna 电视接收天线television relay 电视中继television relay 电视转播television relaying 电视转播television scan conversion display 电视扫描变换显示器television screen 电视萤光屏television screen 电视荧光屏television set 电视机television station 电视台television studio 电视演播室television system for simulation training 模拟训练电视系统television system 电视系统television telephone set 电视电话机television tower 电视塔television tower 电视塔电视塔television transmission 电视发送television transmitter 电视发射机television typewriter 电视打印机television 电视television 电视电视机televisionmonitor 电视监视器televisor 电视机televisor 电视接受机televoltmeter 遥测伏特计televox 由声音操纵的机器人telewriter 传真电报机telewriter 打字电报机telewriter 电传打字电报机telex channel interface 电传通信接口telex channel interface 电传信道接口telex channel interface 电传信道接口电传通信接口telex letter service 电传书信业务telex mode of communications 电传通信方式telex network 用户电报网telex number 电传号telex occupied 电传被占用telex over radio 无线电传telex service invoice 电传业务收据telex service 电传业务;用户电报业务telex service 电传业务用户电报业务telex service 用户电报业务telex store and forward 电传留存待发telex 电报用户直通电路telex 电传telex 电传用户电报telex 用户电报telex 用户电报号码telex 自动电传打字电报telltale compass 倒挂罗经;舵角指示器telltale compass 挂罗经telltale float 水位移标telltale hole 监视孔telltale hole 指示孔telltale lamp 报警灯telltale lamp 自动报警灯telltale lamp 自动报警灯信号灯指示灯telltale shake 从高处指挥松放绳索的信号telltale 舵位指示器telltale 示警装置telltale 指示器telnic bronze 碲镍青铜telok 海湾telpher 电动缆车telpher 缆车telpherage 架空电缆运输telquel 现状条款(谷物运输术语teluk 海湾teminator-initiator 停-起程序temp 高空气象实况图temper bending test 回火韧弯试验temper bending test 韧弯试验temper brittleness 回火脆性temper colour 回火颜色temper furnace 回火炉temper hardening 回火硬化temper 调合回火temper 调合回火韧度锻炼性情temper 回火韧度使回火vi.经回火后具有适当的韧度temper 性情;锻炼;调合temperate climate 温带气候temperate westeries 温带西风带temperate zone 温带temperature adjusting device 温度调节装置temperature alarm indicator 温度警报指示器temperature alarm 过热报警器temperature alarm 温度过高警报temperature anomaly 温度异常temperature bulb 测温包temperature bulb 测温包热敏元件temperature buzzer 温度报警器temperature buzzer 温度警报temperature change 温度变化temperature classification 耐热等级temperature coefficient of breakdown voltage 击穿电压温度系数temperature coefficient of hreakdown voltage 击穿电压温度系数temperature coefficient of resistance 电阻温度系数temperature coefficient 温度系数temperature compensated crystal oscillator 温度补偿晶体振荡器temperature compensated reference element 温度补偿基准元件temperature compensation alloy 温度补偿用合金temperature compensation 温度补偿temperature conefficient of voltage 电压温度系数temperature contrast 不均匀温度分布temperature control amplifier 温度控制放大器temperature control apparatus 温度控制装置temperature control unit 温度控制装置temperature control valve 温度控制阀temperature control 温度控制temperature controlled container list 集装箱温度控制表temperature controlled 温度控制的temperature controller 温度调节器温度控制器temperature controller 温度控制器temperature controlling system 温度控制系统temperature correction 气温改正temperature correction 温度修正temperature curve 温度曲线temperature departure 温度距平temperature detector 感温器;检温器temperature detector 感温器检温器temperature detector 检温器temperature difference recording controller 温差控制器temperature difference 温差temperature display panel 温度显示板temperature drop coefficient 温降系数temperature drop 降温temperature drop 温降temperature effect 温度影响temperature effect 温度影响温度效应temperature element 温度元件temperature entropy curve 温熵曲线temperature entropy diagram 温熵图temperature equilibrium 温度平衡temperature error 温度误差temperature field 温度场temperature fluctuation 温度波动temperature front 温度界面temperature gap 热间隙temperature gauge unit 计温单位temperature gauge 温度计temperature gradient 温度梯度temperature humidity infrared radiometer 温湿红外辐射仪temperature increment 温度增量temperature indicating controller 温度指示控制器temperature indicating switch 温度指示开关temperature indicator 温度指示器temperature inversion 温度逆增temperature limit 温度极限temperature loss 温度损失temperature meter 温度计temperature monitor 温度监测器temperature of cooling water 冷却水温度temperature of superheat 过热温度temperature of the initial combustion 初燃温度temperature pick-up 温度传感器temperature pickup 温度传感器temperature profile 温度分布线temperature radiation 温度辐射temperature range 温差范围temperature range 温度范围temperature rating 额定温度temperature recorder panel 温度记录板temperature recorder 温度记录器temperature recorder 温度记录器自记温度计温度记录仪temperature recorder 温度记录仪temperature recording controller 温度记录控制器temperature reduction 降温temperature regulator 温度调节器temperature relay 温度继电器temperature resistant 耐热的temperature rise of the light 号灯温升temperature safety valve 温度安全阀temperature salinity 温度-盐度temperature scale 温标temperature scale 温度标temperature sensor 温度传感器temperature shock 温度突跃temperature switch 温度开关temperature table 温度表temperature transformer 电压互感器temperature variation 温度变化temperature varying coefficient 温度变化系数temperature winch 自动调缆式拖缆绞车temperature 体温temperature 温度temperature-alarm signalling system 温度警报信号系统temperature-differance quotient 温差商数temperature-difference quotient 温差商数temperature-humidity index 温湿度指数temperature-sensing device 温度传感器temperature-sensing element 感温元件temperature-sensitive 热敏的tempered glass 钢化玻璃tempered 回火的tempering 回火;人工老化tempering 回火人工老化tempest 大风暴tempest 风暴天气tempest-beaten 受暴风雨袭击的tempest-swept 风暴席卷template 样板temple 庙宇temple 庙宇庙宇templet molding 样板造型templet 样板tempo 发展速度temporal 时间的temporales 坦波拉尔风temporarily discontinued 临时中断暂停temporarily discontinued 暂停temporarily discontinued 暂停临时中断temporarily extinguished 暂时熄火temporarily lighted buoy 临时灯浮temporarily out of service 临时中断业务暂时停止工作temporarily out of stock 暂时缺货temporarily replaced by lighted buoy 临时替用灯浮temporarily replaced by lighted buoy showing the same characteristics 暂时替用的同灯质的灯浮temporarily replaced by unlighted buoy 临时替用无灯浮标临时替用的无灯浮标temporarily replaced by unlighted buoy 临时用无灯浮标替代temporary agreement 临时协议temporary bulkhead 临时舱壁temporary bulkhead 临时隔舱temporary bunker 临时煤舱temporary buoy 临时浮标temporary buoy 临时浮标临时浮筒临时浮标temporary buoy 临时浮筒temporary buoy 临时浮筒临时浮标temporary certificate 临时证书temporary change of flag 临时更换船旗temporary customs import 暂时关税temporary deadlight 临时舷窗盖temporary detention 暂予扣留temporary disability 暂时丧失工作能力temporary duty certificate 临时关税完税证书temporary duty certificate 临时关税完税证书临时任职书temporary duty 临时关税临时任务temporary duty 临时关税临时任务临时税temporary duty 临时税temporary emergency lighting battery 临时应急照明蓄电池temporary emergency lighting 临时应急照明temporary extinguished 临时熄灭temporary information 临时通知temporary light 临时灯temporary light 临时灯标temporary lighted buoy 临时灯浮temporary magnet 软铁temporary magnetisation 暂时磁化temporary magnetism 非永久磁性temporary mooring line 临时系泊索temporary mooring 临时系泊temporary notices to mariners 临时航海通告temporary operator 临时值机员temporary provisions on inspection for the safety of ships sailing in changjiang river's main line 长江干线在航船舶安全检查暂行规定temporary radiobeacon service 临时无线电信标业务temporary radiobeacon 临时无线电信标temporary receipt 临时收据temporary repair 临时修理temporary repairs 临时性修理temporary replaced by lighted buoy showing the same characteristic 临时替用同灯质的灯标temporary replaced by unlighted buoy 临时代用无灯浮标temporary rudder 代用舵temporary rudder 临时舵temporary satellite 短时卫星temporary stoppage 临时停车temporary storage 暂时存储temporary survey 临时检验temporary unlighted buoy 临时无灯浮标temporary 临时temporary 临时的temporary 临时的暂时的暂时的temporary 暂时的temporaryagreement 临时协议ten day star = time star 时星ten day star 时星ten's complement 十进制码ten's digit 十进制数tenacity 韧性tenacity 粘性tenancy in partnership 合伙租赁tenancy 租赁tenancy 租用tenant 承租人tenantry 承租人;出租的财产;租赁tenantry 承租人出租的财产租赁tend the ship 照料船舶(照料船舶受潮汐作用而产生的回转tend 看守tendency to soot 积炭倾向性tender clause 投标条款tender clause 投标条款招标条款tender clause 招标条款tender of abandonment 委付移交tender of notice of readiness 递交准备就绪通知书tender procedures 投标手续tender ship 高重心船tender spares 供应船备件tender vessel 高重心船tender vessel 易倾船tender 供应船tender 软的;虚弱的;看守人;附属船tender-based seaplane 舰基水上飞机tender-ship 供应船tenderer 报价人tenderness 易倾侧性tenderness 易倾性tenderness 易倾性(指稳性偏小tending vessel 供应船;潜水工作船(给潜水员供氧气tending vessel 供应船潜水工作船(给潜水员供氧气tenon and mortise 榫和榫眼tenon joining 榫接合tenor 期限tenor 期限副本tenor 要旨tens 逢十各字tensile breaking strength 抗拉断裂试验tensile deformation 拉伸变形tensile elasticity 拉伸弹性tensile elongation 拉伸长度tensile failure 拉伸断裂tensile failure 伸长断裂tensile force 张力tensile load 拉力载荷tensile modulus 拉伸模量tensile strain 抗拉应变tensile strength 抗拉强度tensile stress 抗拉应力tensile test 抗拉试验tensile test 拉伸试验tensile yield point 抗拉屈服点tensile 能拉伸的tensile 张力的tensileload 拉力载荷tensiletesting machine 拉力试验机tensimeter 拉力计tensiometer 张力计tension beam 拉力梁tension beam 受拉力梁tension brace 牵条tension bracing 拉条tension crack 龟裂tension crack 龟裂海冰由于下面水的运动而)龟裂tension dynamometer 拉力测力计tension fracture 拉伸断裂tension gear 张紧装置tension load 拉伸负载tension member 受拉构件tension member 受拉牵条tension meter 张力计tension packer 张力封隔器tension pulley block 张紧滑车tension set 断裂延伸率tension specimen 拉伸试样tension spring 拉簧tension strength at break 破断强度tension test for loose gear 可卸零、部件拉力试验tension test 抗拉试验tension winch 自动调节绞缆机tension 气压张力tension 张力tensioned highline 高架张索tensioner 张力器tensioning device 张紧tensioning device 张紧装置tensioning jack 拉伸千斤顶tensioning jack 液压拉伸器tensioning 张紧tensometric method 张力测量法tensor analysis 张量分析tensor 张量tent ice 帐篷状冰堆tent 天篷tent 帐篷;张开帐篷tentation data 假定数据tentation data( 假定数据tentation 假定tentative booking 试定舱位tentative data) 假定数据tentative schedule 试验性时间表tentative spandard 暂行标准tentative standard 暂行标准tentative 假定的tentative 试验的tentative 试验性的tented ice 帐篷状冰堆tenting an awning 斜帐天幕tenting 斜张天幕tenting 斜张天幕;海冰受挤压拱起成帐幕状tenuity factor 稀薄因子tenuity 稀薄tepe 山丘tepefaction 微温tepefaction 微温温热tepesi 山丘tera- 兆兆terahedral tool maker's straight edge 四棱平尺terahedral 四面体的terebinthine oil 松节油teredo navalis 船蛆teredo navalis 凿船贝teredo 船蛆tereno 特伦诺风term and condition 条款term day 付款日term day 付款日支付日term implied by law 法律默示的条款term insurance 定期保险term mortgage 定期抵押term of charter 租船期限term of contract 契约期限term of contract 契约期限契约履行期限term of no salvage charges 无救助费用条款term of payment 付款条件term of voyage 航行期间term piece 方尾小艇)尾端边木term piece 尾端边木term pieces 舢板)尾端边木term 技术名语term 术语terminal adapter 终端适配器terminal address selector true air speed 真风速terminal address selector 终端地址选择口terminal advice to the tanker 装卸港对油船通告的信息terminal aids 终端导航设备terminal amplifier 终端放大器terminal assembly 接头排terminal assembly 接线板terminal assembly 接线板线弧terminal axial-tag terminal 轴端terminal binding post 线端接线柱terminal block 接线板terminal block 接线盒terminal block 接线盒接线板terminal board 接线板terminal board 接线板接线板terminal box 接线盒terminal box 终端盒terminal box 终端盒接线盒terminal charge 港口费terminal clamp 终端线夹terminal contact 终端触点terminal control equipment 终端控制设备terminal control unit 终端控制单元terminal control unit 终端控制单元terminal control unit 终端控制装置terminal controller 终端控制器terminal depot 集装箱运站terminal depot 码头货运站terminal devanning 区内拆箱terminal devanning 区内拆箱码头内拆箱terminal devanning 区内拆箱区内拆箱码头内拆箱terminal device interface 终端设备接口terminal device 终端设备terminal dock 港口坞池terminal error 终端误差terminal facilities 港口设施terminal fall velocity 下落终速terminal handling charge 装卸区操作管理费terminal impedance 终端阻抗terminal information control system 码头信息控制系统terminal installation for data transmission 数据传输终端装置terminal interaction 终端相互作用terminal interface controller 终端接口控制器terminal interface module 终端接口模块terminal interface processor 终端接口处理机terminal interface processor 终端接口处理器terminal limit switch 终端限位开关terminal lug 接线片terminal marking 终端标记接线柱标记terminal moraine 终碛terminal of agreement 契约满期日terminal of containers 集装箱码头terminal operation 码头作业terminal operator 码头经营人terminal operator 码头营运人terminal part 末端部terminal pin 尾销terminal plate 终端板接线板端子板terminal port 起迄港terminal port 终端出入口terminal post 接线柱terminal pressure 端压力terminal printer 终端打印机terminal processor 终端处理机terminal receiving system 库前接受制度terminal receiving system 库前接受制度集装箱码头收货制terminal reheat air-conditioning system 终端再热空调系统terminal representative 港方代表terminal screw 接线螺柱terminal speed 终速terminal speed 终速极限速率terminal station 终端站terminal strip 接线条terminal stud 接线柱terminal switch 终端开关terminal temperature difference 传热端温差terminal transit 区内调运terminal transit 区内调运码头内调运区内调运terminal unit 终端单元terminal unloading procedure 码头卸货程序terminal user 终端用户terminal valve 进出口阀terminal vanning 区内装箱terminal vanning 区内装箱码头内装箱terminal velocity 末速terminal very high frequency omnidirectional radio beacon 终端甚高频全方位信标terminal voltage drop 终端电压降terminal voltage 端电压terminal voltage 终端电压terminal yoke 蓄电池同性极板汇流条terminal 控制终端terminal 末端terminal 末端的terminal 智能终端terminal 终点terminal-to-computer multiplexer 终端到计算机多路调制器terminalization 码头枢钮化terminating set 终端装置terminating unit 终端设备terminating 终端termination of a contract of seaman employment 船员雇佣合同的终止与解除termination of adventure clause 航程终止条款termination of contract by negotiation 合同的协议解除termination of contract of carriage clause 运送合约终止条款termination of insurance contract 保险合同的解除termination of legal person 法人终止termination of risk 保险责任终止termination of salvage operation 救助作业结束termination of sar operation 搜救结束termination of the adventure 航程终止termination of traffic separation scheme 分道通航制终点termination rack 终端网络termination 终止terminator 终端负载;终端套管;明暗界线;晨昏线terminator 终端负载终端连接器限定者terminator 终端联结器terminer 决定termini of the voyage 航程起迄点terminology standard 术语标准terminology 术语terminology 专门名词terms and conditions 合同条款terms of agreement 协议条件terms of contract 合同条款terms of credit 信贷条款terms of credit 信贷条款债方条款terms of credit 债方条款terms of delivery 交货条件terms of employment 雇用条件;待遇terms of employment 雇用条件待遇terms of payment 付款条件terms of sale 买卖条件terms of settlement 解决办法terms of shipment 装船条件terms of shipment 装运条件terms 到…港交货的到岸价格terms 条款术语tern schooner 三桅纵帆船ternary alloy 三元合金ternary code 三进制码ternary plate 三合板ternary 三元的ternary 三元的三进制的terne 镀铅铁板terotechnology 使用保养技术terra japonica 槟榔膏terrace 台地;台地terrace 台地台地海底台地terrain 地形;地势terrain 地形地势terrain-following radar 地形跟踪雷达terral levante 偏东陆风terral 坦拉尔风terre altos 高空陆风terrenho 特伦诺风terrestrial channel 地上信道terrestrial channel 陆上信道terrestrial coordinates 地理坐标terrestrial cross bearings 陆标交叉方位terrestrial current 地电流terrestrial equator 地球赤道terrestrial facilities 地面设备terrestrial globe 地球仪terrestrial gravitation 地球引力terrestrial horizon 岸线地平terrestrial interface equipment 地面接口设备terrestrial latitude 地球纬度(地理terrestrial link 地面链路terrestrial longitude 地球经度terrestrial magnetic field 地磁场terrestrial magnetism 地磁terrestrial magnetism 地理磁场terrestrial meridian 地球经圈terrestrial navigation aid 岸上导航设备terrestrial navigation 地文导航terrestrial navigation 地文航海terrestrial object 陆标terrestrial pole 地极terrestrial radiation 地球辐射terrestrial reference guidance 地球基准制导terrestrial refraction 地面蒙气差terrestrial satellite 地球卫星terrestrial sphere 地球terrestrial station 地面电台terrestrial surface radiation 地面辐射terrestrial triangle 地面三角形terrestrial waters 领海水域terrestrial waveguide 地球波导terrestrial 地球的territorial court 属地法院territorial jurisdiction 属地管辖territorial law 属地法territorial limits 领土范围territorial maritime belt 领海带territorial sea 领海territorial seas 领海水域territorial sovereignty 领土主权territorial straits 领域海峡territorial waters demarcation line 领海分界线territorial waters demarcation line 领海线territorial waters 领海territorial waters 领水territorial weters 领海territorial 领土的territory 领土terror 恐怖terrorism 恐怖主义terrorist 恐怖分子terroristic 恐怖行为的tertiary winding 第三线圈tertiary 第三的terylene insulated cable 涤纶绝缘电缆terylene rope 涤纶绳terylene 涤纶tesla coil 泰斯拉感应圈tesla transformer 泰斯拉变压器tesla 泰斯拉tesla 泰斯拉(磁通密度单位等于1韦伯米2)tesla 泰斯拉泰斯拉tesla 特test analysis report 试验分析报告test and evaluation ship 试验与鉴定船test apparatus 试验装置test article 试验样品test assembly 试件组test automation 自动测试test bar 测试棒test bar 测试杆test base 试验基地test bed power 试车台功率test bed results 试验台结果test bed 试验台test bench 试验台test block 试验台test board 试验盘test board=testboard 试验台试验板test boring 试钻孔test boring 试钻孔试镗孔test box 试验盒test box 试验盒验电箱test button 试验按钮test button 试验用按钮test by water pressure 水压试验test card 检验卡片test carriage 试验拖车test case 判例案件test cell 试验台test certificate 试验证书test chamber 试验室风洞试验段test chart 测试图表test chart 试验图test circuit 测试电路test clamp 试验用夹子test clip 测试用线夹test cock 试验旋塞test cock 验水旋塞test code 试验规程test condition 试验条件test connection 试验接线test control module 试验控制模块test current 测试电流test current 试验电流test data recorder 试验数据记录器test data report 试验数据报告test data 试验数据test desk 试验台test dynamometer 试验用测功器test encoder 测试编码器test equipment 测试设备test equipment 试验设备test evaluation 试验鉴定test facilities 试验设备test failure 试验失败test figures 试验数据test fixture 试验夹具test fixture 试验夹具试验台test for combustion control 燃烧调整试验test for open 开路试验test for short 短路试验test gear 测试装置test hammer 检验锤test head 试验水头test head 试验压头test hole 测试孔test hole 检验孔test hole 试验孔test house 试验室test impules 测试脉冲test impulse 测试脉冲test in place 现场试验test indicator 测试指示器test information 试验情报test installation 试验装置test instrumentation system 测试仪表系统test instrumentation 测试仪器test instrumentation 试验仪表装备test jack field 测试塞孔盘test jack panel 测试塞孔盘test lamp 测验灯test lamp 试验灯test load 试验负荷试验负载test load 试验负载test load 试验载荷test loop 测试环路test loop 测试回线test mandrel 试验心轴test message 试验报文test meter 测试仪表test model 试验模型test number 试验号test observation 试验观测test observation 试验观测收敛检验法test observation 收敛检验法test of convergence 收敛性检验test of engine 试车test of hypothesis 假设检验test of significance 显著性检验test ok 无故障test oscillator panel 测试用振荡器盘test panel 试验屏test paper 试纸test pencil 试验笔test pencil 试样test piece 试件test piece 试件试样test plug 测试插塞test plug 测试栓塞test plug 测试栓塞试验插塞test point 测试点test point 测试点试验点test point 试验点test position 试验位置test pressure 试验压力test pressure-feed 压力检查表test procedure 测试步骤test procedure 试验程序test program 试验程序test pulse 测试脉冲test pump 试验泵test push button 试验用按钮test rack 试验台test rack 试验台试验机架test radius 试验半径test range 试验场test readiness certificate 试验准备合格证书test record 测试记录test relay 测试继电器test report 试验报告test requirement outline 试验要求简述test results being delivered 正在传送试验结果test results 试验结果test rig 试验装置test rod 测试棒test routine 检查程序test routine 检验程序test run 试航test run 试运行test sailing 试航test sample 试样test section 试验段test section 试验区段test selector 测试用选择器test set 测试装置test set 检测设备test set-up 试验装备test sheet 检验单test signal generator 测试信号发生器test signal 测试信号test specifications 试验规程test specimen 试样test speed 试验速度test stand 试验台test strength 测试强度试验强度test switch 测试开关test switch 试验用开关test switchboard 测试开关test tank 船模试验池;观察柜test tank 检油柜test tank 检油柜船模试验池test tube brush 试管刷test tube stand 试管架test tube 试管test voltage 测试电压test voltmeter 测试伏特计test weld 试焊test working 试运行test 测试test 测试试验检验化验test 鉴定试验test 浸水试验test 控制试验test 流量试验流通试验test 蠕变试验test 试验test 试验测试检验test-bed horsepower 试车马力test-bed 试验台testbed trial 试验台试验testbed 试验台testboard 试验台试验板testcraft 试验艇tester 试验器tester 试验仪tester 试验员试验器testicles 睾丸testimonial 鉴定书testimonial 证明书的testimony of witness 人证testimony 证言testing apparatus 试验装置testing battery 测试用电池testing bed test 试验台试验testing button 试验用按钮testing certificate 测试证书testing certificate 出厂证testing certificate 检验证书testing data 试验数据testing facilities 试验设备testing for internal quality 内部质量检验testing goodness of fit 拟合良好性检验testing hammer 尖嘴头testing hammer 检验锤testing instrument 测试仪表testing jig 试验架testing kit 成套测试仪器testing lamp 试验灯testing linearity of regression 回归线性检验testing load 试验负载testing machine 试验机testing machine 硬度试验机testing method 测试方法testing of characteristic 特性试验testing of engine 发动机试验testing of life-saving appliance 救生设备试验testing of lubricating oil 润滑油试验testing of material 材料试验testing of steering gear 试舵testing of wiring 布线试验testing outfit 试验装备testing plant 试验站testing plant 试验站试验装置testing procedures 检验程序testing program 试验程序testing program 试验程序试验大纲testing sequence 试验程序testing set 测试器testing socket 试验插座testing stand 试验台testing station 试验站testing switchboard 试验用配电板testing tank 船模试验池testing technique 试验技术试验装置testing terminal 测试端子testing time 检查时间testing transformer 试验用变压器testing valve 试验阀testing voltage 测试电压testing weld 试验焊缝testing wire 测试线testing 试验testing 试验检验化验测试试验的化验的检验的测试的testing-machine 试验机testload 试验载荷tetchered float breakwater 浮球阵式防波堤tether line 牵绳tethered buoyant platform 缆系浮动平台tethered platform 缆系平台tethered radar reflector 特瑟德雷达反射器tethered unit 系绳装置tetrachloride extinguisher 四氯化碳灭火器tetrachloride fire extinguisher 四氯化碳灭火器tetrachloride 四氯化物tetrachloromethane 四氯代甲烷tetraethyl lead 四工铅tetraethyl lead 四乙铅tetrafluorodibromoethane 四氟二溴乙烷tetrafluoroethylene resin 四氟乙烯树脂tetrafluoroethylene 聚四氟乙烯tetrafluoroethylene 聚四氟乙烯四氟乙烯tetrafluoroethylene 四氟乙烯tetragon 四边形tetrahedroid 四面体tetrahedron 四面体tetramethyl lead 四甲铅tetramethylene 环丁烷tetrapods 散砾石tetrode 四极管teuchit 英国早春雷暴texas deck 河轮最高甲板texas tower 一种安设在大陆架或浅滩上的钻探塔texas 河轮最高甲板室text 文本text 原文text 正文textel 用电话传送电传textile 纺织品a.纺织的textile 纺织品纺织的textiles 纺织品textolite 层压胶布板textual error 文字上的错误textual 原文的texture 结构texture 结构组织晶体结构thai airways international ltd. 泰国航空国际公司thai maritime navigation co.ltd. 泰国海运有限公司thalassic 海底的thalassic 海洋的thalassocracy 制海权thalassometer 验潮器thalweg 山谷或海底谷最低部联线;国界线水道的主航道中央线thalweg 山谷或海底谷最低部联线国界线水道的主航道中央线thalwind 泰尔风thames navigation service 泰晤士河导航系统thames rowing club 泰晤士河航海俱乐部thames yacht club 泰晤士河快艇俱乐部thank you very much 非常感谢thank you 谢谢您thank your telex 谢谢你的电传thanks regards 顺致谢意thanks your cable 谢谢您的电报thanks 谨致谢意thanks 谨致谢意谢谢thanks 谢谢that 那thaught 横座板;脚手架横撑木thaught 脚手架横撑木舢板)横座板thaw holes 冰蚀洞thaw vi.融化thaw 解冻;融化thaw 融化thawing room 融冰室thawing tank 融冰槽thawing time 融化时间thawing 融化的the accidnet of m. v. yue jin 跃进号事件the administrative law of the continental legal system 大陆法系行政法the administrative procedure law of the people's republic of china 中华人民共和国行政诉讼法the al wahab 阿·沃号轮案the alabama case 阿拉巴玛号案the american association of railroads 美国铁道协会the american farmer 美国农场主轮案the american steamship owners mutual protection & indemnity association 美国船东互保协会the applicable law of arbitration 仲裁的法律适用the arbitration and conciliation rules of the international commercial chamber 国际商会调解与仲裁规则the ardenes owner of cargo v. the ardenes owners 1950 货主阿迪尼斯公司诉船东阿迪尼斯公司案the association of average adjusters 英国海损理算师协会the assured 被保险人the assured 被保险人投保人the assured 投保人。

液晶常用的场效应管

液晶常用的场效应管

液晶常用的场效应管英文回答:Thin-film transistors (TFTs) are a type of field-effect transistor (FET) that is commonly used in liquid crystal displays (LCDs). TFTs are used to control the flow of current through each pixel in an LCD, which in turncontrols the brightness and color of that pixel.There are two main types of TFTs used in LCDs: amorphous silicon (a-Si) TFTs and polycrystalline silicon (p-Si) TFTs. A-Si TFTs are made from a thin film of amorphous silicon, which is a non-crystalline form of silicon. P-Si TFTs are made from a thin film of polycrystalline silicon, which is a crystalline form of silicon.A-Si TFTs are less expensive to manufacture than p-Si TFTs, but they also have lower performance. P-Si TFTs have higher performance than a-Si TFTs, but they are also moreexpensive to manufacture.The choice of which type of TFT to use in an LCD depends on the desired performance and cost constraints.For low-cost applications, a-Si TFTs are typically used.For high-performance applications, p-Si TFTs are typically used.In addition to a-Si TFTs and p-Si TFTs, there are alsoa number of other types of TFTs that can be used in LCDs. These include cadmium selenide (CdSe) TFTs, zinc oxide (ZnO) TFTs, and indium gallium zinc oxide (IGZO) TFTs. Each typeof TFT has its own unique advantages and disadvantages, and the choice of which type to use depends on the specific application.中文回答:在液晶显示器(LCD)中,薄膜晶体管(TFT)是一种常用的场效应晶体管(FET)。

熔融温度对透辉石结晶生长的影响

熔融温度对透辉石结晶生长的影响

第42卷 第6期Vol.42No.62021年12月Journal of Ceramics Dec. 2021收稿日期:2021‒05‒11。

修订日期:2021‒06‒24。

Received date: 2021‒05‒11. Revised date: 2021‒06‒24. 基金项目:国家重点研发计划(2018YFC1901903);河北省创新能 Correspondent author: HUANG Fei (1959-), Female, Ph.D., 力提升计划项目(JCY-CB-2019-088);科技部科技兴辽计划项目 Professor.(02070076119008)。

E-mail: ***************** 通信联系人:黄 菲(1959-),女,博士,教授。

DOI: 10.13957/ki.tcxb.2021.06.009熔融温度对透辉石结晶生长的影响常卓雅1,黄 菲1,张志彬1,王鑫宇1,闻昕宇1,宋贵常2,李明宇3(东北大学 资源与土木工程学院,辽宁 沈阳 110819;2. 河北崇礼紫金矿业有限责任公司,河北 张家口 075000;3. 铁矿石资源综合利用专业技术创新中心,辽宁 朝阳 122400)摘 要:透辉石陶瓷材料具有良好的机械性能和化学性能,已被广泛应用于建筑陶瓷、日用陶瓷、微晶玻璃等领域。

系统研究透辉石结晶生长过程的影响因素,可为透辉石陶瓷材料的加工、工艺设计和应用提供结晶机制的理论依据。

以天然岩石为原料,采用熔融法制备透辉石晶体,研究熔融温度对透辉石生长机制的影响。

运用X 射线衍射仪(XRD)、场发射电子探针(EPMA)、差热分析仪(DSC)等方法,研究分析了不同熔融温度下透辉石的结晶生长过程。

结果表明:熔融温度在1210 ℃~1250 ℃内,随着温度的升高,透辉石的微观形貌存在明显差异,主要表现为晶体形态逐渐由骸晶发育成枝晶,自形程度呈半自形向自形变化。

半导体微电子专业词汇中英文对照

半导体微电子专业词汇中英文对照

半导体微电子专业词汇中英文对照半导体微电子专业词汇中英文对照Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Acoustic Surface Wave 声表面波Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区A/D conversion 模拟-数字转换Adhesives 粘接剂Admittance 导纳Aging 老化Airborne 空载Allowed band 允带allowance 容限,公差Alloy-junction device合金结器件Aluminum(Aluminum) 铝Aluminum – oxide 铝氧化物Aluminum Nitride 氮化铝Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度A M light 振幅调制光,调幅光amplitude limiter 限幅器Amorphous 无定形的,非晶体的Amplifier 功放放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Antenna 天线Aperture 孔径Arsenide (As) 砷Array 阵列Atomic 原子的Atom Clock 原子钟Attenuation 衰减Audio 声频Auger 俄歇Automatic 自动的Automotive 汽车的Availability 实用性Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发Background carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ball bond 球形键合Band 能带Band gap 能带间隙Bandwidth 带宽Bar 巴条发光条Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Batch 批次Battery 电池Beam 束光束电子束Bench 工作台Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bit 位比特Blocking band 阻带Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Boron 硼Borosilicate glass 硼硅玻璃Bottom-up 由下而上的Boundary condition 边界条件Bound electron 束缚电子Bragg effect 布拉格效应Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊FBrillouin zone 布里渊区Buffer 缓冲器Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn-in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区Bus 总线Calibration 校准,检定,定标、刻度,分度Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carbon dioxide (CO2) 二氧化碳Carrier 载流子、载波Carry bit 进位位Cascade 级联Case 管壳Cathode 阴极Cavity 腔体Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemical etching 化学腐蚀法Chemically-Polish 化学抛光Chemically-Mechanically Polish (CMP) 化学机械抛光Chemical vapor deposition (cvd)化学汽相淀积Chip 芯片Chip yield 芯片成品率Circuit 电路Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clean 清洗Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-loop gain 闭环增益Coating 涂覆涂层Coefficient of thermal expansion 热膨胀系数Coherency 相干性Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比Communication 通信Compact 致密的Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-SemiconductorField-Effect-Transistor(CMOS) 互补金属氧化物半导体场效应晶体管Computer-aided design(CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制造Component 元件Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge) 导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 结构Conlomb 库仑Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Continuous wave 连续波Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Controlled 受控的Converter 转换器Conveyer 传输器Cooling 冷却Copper interconnection system 铜互连系统Corrosion 腐蚀Coupling 耦合Covalent 共阶的Crossover 交叉Critical 临界的Cross-section 横断面Crucible坩埚Cryogenic cooling system 冷却系统Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Cubic crystal system 立方晶系Current density 电流密度Curvature 曲率Current drift/drive/sharing 电流漂移/驱动/共享Current Sense 电流取样Curve 曲线Custom integrated circuit 定制集成电路Cut off 截止Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)) Dangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Decade 十进制Decibel (dB) 分贝Decode 解码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep energy level 深能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOS Depletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Detector 探测器Developer 显影剂Diamond 金刚石Die 芯片(复数dice)Diode 二极管Dielectric Constant 介电常数Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffraction 衍射Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dimension (1)尺寸(2)量钢(3)维,度Diode 二极管Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Directional antenna 定向天线Discharge 放电Discrete component 分立元件Disorder 无序的Display 显示器Dissipation 耗散Dissolution 溶解Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Dose 剂量Double-diffusive MOS(DMOS)双扩散MOS Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Dual-polarization 双偏振,双极化Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗Early effect 厄利效应Early failure 早期失效Effect 效应Effective mass 有效质量Electric Erase Programmable Read Only Memory(E2PROM) 电可擦除只读存储器Electrode 电极Electromigration 电迁移Electron affinity 电子亲和势Electron-beam 电子束Electroluminescence 电致发光Electron gas 电子气Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏Electro-optical 光电的Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOSEnteric (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Epoxy 环氧的Equivalent circuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Equipment 设备Erasable Programmable ROM (EPROM)可搽取(编程)存储器Erbium laser 掺铒激光器Error function complement 余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Exponential 指数的Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体Fabry-Perot amplifier 法布里-珀罗放大器Face - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快表面态Feedback 反馈Fermi level 费米能级Femi potential 费米势Fiber optic 光纤Field effect transistor 场效应晶体管Field oxide 场氧化层Figure of merit 品质因数Filter 滤波器Filled band 满带Film 薄膜Fine pitch 细节距Flash memory 闪存存储器Flat band 平带Flat pack 扁平封装Flatness 平整度Flexible 柔性的Flicker noise 闪烁(变)噪声Flip-chip 倒装芯片Flip- flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Focal plane 焦平面Forbidden band 禁带Formulation 列式,表达Forward bias 正向偏置Forward blocking /conducting 正向阻断/导通Free electron 自由电子Frequency deviation noise 频率漂移噪声Frequency response 频率响应Function 函数Gain 增益Gallium-Arsenide(GaAs) 砷化镓Gallium Nitride 氮化镓Gate 门、栅、控制极Gate oxide 栅氧化层Gate width 栅宽Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge) 锗Gold 金Graded 缓变的Graded (gradual) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Graphene 石墨烯Grating 光栅Green laser 绿光激光器Ground 接地Grown junction 生长结Guard ring 保护环Guide wave 导波波导Gunn - effect 狄氏效应Gyroscope 陀螺仪Hardened device 辐射加固器件Harmonics 谐波Heat diffusion 热扩散Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Hell - effect 霍尔效应Hertz 赫兹Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS(H-MOS)高性能MOS器件High power 大功率Hole 空穴Homojunction 同质结Horizontal epitaxial reactor 卧式外延反应器Hot carrier 热载流子Hybrid integration 混合集成Illumination (1)照明(2)照明学Image - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂志散射Inch 英寸Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Index of refraction 折射率Indium 铟Indium tin oxide (ITO) 铟锡氧化物Inductance 电感Induced channel 感应沟道Infrared 红外的Injection 注入Input power 输入功率Insertion loss 插入损耗Insulator 绝缘体Insulated Gate FET(IGFET) 绝缘栅FET Integrated injection logic 集成注入逻辑Integration 集成、积分Integrated Circuit 集成电路Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions 国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性Junction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁Laser 激光器Laser diode 激光二极管Latch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Lead 铅Leakage current (泄)漏电流Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED) 发光二极管Linearity 线性化Liquid 液体Lock in 锁定Longitudinal 纵向的Long life 长寿命Lumped model 集总模型Magnetic 磁的Majority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law 质量守恒定律Master-slave D flip-flop 主从D 触发器Matching 匹配Material 材料Maxwell 麦克斯韦Mean free path 平均自由程Mean time before failure (MTBF) 平均工作时间Mechanical 机械的Membrane (1)薄腊,膜片(2)隔膜Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor 金属半导体FET Metalorganic Chemical Vapor Deposition MOCVD 金属氧化物化学汽相淀积Metallization 金属化Metal oxide semiconductor (MOS)金属氧化物半导体MeV 兆电子伏Microelectronic technique 微电子技术Microelectronics 微电子学Microelectromechanical System (MEMS) 微电子机械系统Microwave 微波Millimeterwave 毫米波Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobility 迁移率Module 模块Modulate 调制Molecular crystal 分子晶体Monolithic IC 单片MOSFET 金属氧化物半导体场效应晶体管Mount 安装Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块Multilayer 多层Multiplication coefficient 倍增因子Multiplexer 复用器Multiplier 倍增器Naked chip 未封装的芯片(裸片)Nanometer 纳米Nanotechnology 纳米技术Negative feedback 负反馈Negative resistance 负阻Negative-temperature-coefficient负温度系数Nesting 套刻Noise figure 噪声系数Nonequilibrium 非平衡Nonvolatile 非挥发(易失)性Normally off/on 常闭/开Nuclear 核Numerical analysis 数值分析Occupied band 满带Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon 光子Optical quenching 光猝灭Optical transition 光跃迁Optical-coupled isolator 光耦合隔离器Organic semiconductor 有机半导体Orientation 晶向、定向Oscillator 振荡器Outline 外形Out-of-contact mask 非接触式掩模Output characteristic 输出特性Output power 输出功率Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Pass band 通带Passivation 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Pattern 图形Payload 有效载荷Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photonic devices 光子器件Photolithographic process 光刻工艺Photoluminescence 光致发光Photo resist (光敏)抗腐蚀剂Photo mask 光掩模Piezoelectric effect 压电效应Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plane 平面的Plasma 等离子体Plate 板电路板P-N junction pn结Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor 聚合物半导体Poly-silicon 多晶硅Positive 正的Potential (电)势Potential barrier 势垒Potential well 势阱Power electronic devices电力电子器件Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Print-circuit board(PCB) 印制电路板Probability 几率Probe 探针Procedure 工艺Process 工艺Projector 投影仪Propagation delay 传输延时Proton 质子Proximity effect 邻近效应Pseudopotential method 赝势法Pump 泵浦Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制Punchthrough 穿通Push-pull stage 推挽级Q Q值Quality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency 量子效应Quantum mechanics 量子力学Quasi – Fermi-level 准费米能级Quartz 石英Radar 雷达Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination 辐照复合Radio 无线电射电射频Radio-frequency RF 射频Raman 拉曼Random 随机Range 测距Radio 比率系数Ray 射线Reactive sputtering source 反应溅射源Real time 实时Receiver 接收机Recombination 复合Recovery diode 恢复二极管Record 记录Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Red light 红光Reference 基准点基准参考点Refractive index 折射率Register 寄存器Regulate 控制调整Relative 相对的Relaxation 驰豫Relaxation lifetime 驰豫时间Relay 中继Reliability 可靠性Remote 远程Repeatability 可重复性Reproduction 重复制造Residual current 剩余电流Resonance 谐振Resin 树脂Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Resolution 分辨率Response time 响应时间Return signal 回波信号Reverse 反向的Reverse bias 反向偏置Ribbon 光纤带Ridge waveguide 脊形波导Ring laser 环形激光器Rotary wave 旋转波Run 运行Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range 电流饱和区Scan 扫描Scaled down 按比例缩小Scattering 散射Schematic layout 示意图,简图Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Screen 筛选Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor laser半导体激光器Semiconductor-controlled rectifier 半导体可控硅Sensitivity 灵敏度Sensor 传感器Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shaping 成型Shield 屏蔽Shifter 移相器Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘体上硅Silver whiskers 银须Simple cubic 简立方Simulation 模拟Single crystal 单晶Sink 热沉Sinter 烧结Skin effect 趋肤效应Slot 槽隙Slow wave 慢波Smooth 光滑的Subthreshold 亚阈值的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Solution 溶液Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Space Craft 宇宙飞行器Spacing 间距Specific heat(PT) 比热Spectral 光谱Spectrum 光谱(复数)Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spot 斑点Spray 喷涂Spreading resistance 扩展电阻Sputter 溅射Square root 平方根Stability 稳定性Stacking fault 层错Standard 标准的Standing wave 驻波State-of-the-art 最新技术Static characteristic 静态特性Statistical analysis 统计分析Steady state 稳态Step motor 步进式电动机Stimulated emission 受激发射Stimulated recombination 受激复合Stopband 阻带Storage time 存储时间Stress 应力Stripline 带状线Subband 次能带Sublimation 升华Submillimeter 亚毫米波Substrate 衬底Substitutional 替位式的Superconductor 超导(电)体Superlattice 超晶格Supply 电源Surface mound表面安装Surge capacity 浪涌能力Switching time 开关时间Switch 开关Synchronizer 同步器,同步装置Synthetic-aperture 合成孔径System 系统Technical 技术的,工艺的Telecommunication 远距通信,电信Telescope 望远镜Terahertz 太赫兹Terminal 终端Template 模板Temperature 温度Tensor 张量Test 测试试验Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thick- film technique 厚膜技术Thin- film hybrid IC 薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Three dimension 三维Threshold 阈值Through Silicon Via 硅通孔Thyistor 晶闸管Time resolution 时间分辨率Tolerance 公差T/R module 发射/接收模块Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transmissivity 透射率Transmitter 发射机Transceiver 收发机Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Travelling wave 行波Trigger 触发Trim 调配调整Triple diffusion 三重扩散Tolerance 容差Tube 管子电子管Tuner 调节器Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn - off time 关断时间Ultraviolet 紫外的Ultrabright 超亮的Ultrasonic 超声的Underfilling 下填充Undoped 无掺杂Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity- gain frequency 单位增益频率Unilateral-switch 单向开关Vacancy 空位Vacuum 真空Valence(value) band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Variable 可变的Vector 矢量Vertical 垂直的Vibration 振动Visible light 可见光Voltage 电压Volt 伏特Wafer 晶片Watt 瓦Wave guide 波导Wavelength 波长Wave-particle duality 波粒二相性Wear-out 烧毁Wetting 浸润Wideband 宽禁带Wire 引线Wire routing 布线Work function 功函数Worst-case device 最坏情况器件X-ray X射线Yield 成品率Zinc 锌。

半导体一些术语的中英文对照

半导体一些术语的中英文对照

半导体一些术语的中英文对照离子注入机ion implanterLSS理论Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫—斯高特理论”.沟道效应channeling effect射程分布range distribution深度分布depth distribution投影射程projected range阻止距离stopping distance阻止本领stopping power标准阻止截面standard stopping cross section退火annealing激活能activation energy等温退火isothermal annealing激光退火laser annealing应力感生缺陷stress-induced defect择优取向preferred orientation制版工艺mask—making technology图形畸变pattern distortion初缩first minification精缩final minification母版master mask铬版chromium plate干版dry plate乳胶版emulsion plate透明版see-through plate高分辨率版high resolution plate, HRP超微粒干版plate for ultra—microminiaturization 掩模mask掩模对准mask alignment对准精度alignment precision光刻胶photoresist又称“光致抗蚀剂”。

负性光刻胶negative photoresist正性光刻胶positive photoresist无机光刻胶inorganic resist多层光刻胶multilevel resist电子束光刻胶electron beam resistX射线光刻胶X-ray resist刷洗scrubbing甩胶spinning涂胶photoresist coating后烘postbaking光刻photolithographyX射线光刻X—ray lithography电子束光刻electron beam lithography离子束光刻ion beam lithography深紫外光刻deep-UV lithography光刻机mask aligner投影光刻机projection mask aligner曝光exposure接触式曝光法contact exposure method接近式曝光法proximity exposure method光学投影曝光法optical projection exposure method 电子束曝光系统electron beam exposure system分步重复系统step-and-repeat system显影development线宽linewidth去胶stripping of photoresist氧化去胶removing of photoresist by oxidation等离子[体]去胶removing of photoresist by plasma 刻蚀etching干法刻蚀dry etching反应离子刻蚀reactive ion etching,RIE各向同性刻蚀isotropic etching各向异性刻蚀anisotropic etching反应溅射刻蚀reactive sputter etching离子铣ion beam milling又称“离子磨削”。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Low Temperature Field-Effect in Crystalline Organic MaterialV. Y. Butko*†, J. C. Lashley* and A. P. Ramirez‡,¶*Los Alamos National Laboratory, Los Alamos, New Mexico, USA‡Bell Laboratories, Lucent Technologies, 600 Mountain Avenue, Murray Hill, NY, USA¶Columbia University, New York, NYMolecular organic materials offer the promise of novel electronic devices but also present challenges for understanding charge transport in narrow band systems. Low temperature studies elucidate fundamental transport processes. We report the lowest temperature field effect transport results on a crystalline oligomeric organic material, rubrene. We find field effect switching with on-off ratio up to 107 at temperatures down to 10 K. Gated transport shows a factor of ~10 suppression of the thermal activation energy in 10-50 K range and nearly temperature independent resistivity below 10 K.Interest in organic devices stems from their mechanical flexibility, their potential for interfacing to biological systems, and their ease of processing over large areas. [1-4]. Work on single-molecule devices also motivates the need for scalable approaches to integrated molecular electronics [5, 6] Unlike the development of inorganic semiconductor devices, applications for organics are well ahead of fundamental understanding, especially concerning scattering and trapping mechanisms and their chemical and morphological origins.One of the key questions for organic semiconductors is what fraction of charge injected by gate bias in a field effect transistor (FET) configuration is either itinerant or localized, the latter due to deep-level trapping. The most stringent test of localization is to cool such a device to very low temperatures where the mobility edge can be probed without the complication of thermal activation. Low-temperature time-of-flight experiments on high-quality single crystals of naphthalene and anthracene suggest that band transport with mobility values, µ > 100, is attainable [7]. In this work we present the first low-temperature measurements on field effect devices made from a crystalline oligomeric organic material, rubrene.The first organic single crystal FETs made from rubrene, pentacene, and tetracene had carrier mobility (µ) ≤1 cm2/(Vs) [8-11]. All of these devices demonstrated thermally activated resistivity, ρ =ρ0exp(E a/k B T), whereE a is an activation energy, below 270 K. Recent work [12,13] on rubrene single crystal FETs shows µ significantly higher than 1 cm2/Vs in the temperature range 300K - 100K but, below 180 K, charge transport is still semiconducting-like with E a ~ 70 meV. Rubrene (C42H28) is a molecule comprised of tetracene with four additional phenyl rings attached at the center positions. For crystal synthesis, we used a special batch of high-purity rubrene powder obtained from Aldrich. Crystal growth was achieved by successive runs using the horizontal physical vapor transport method of Laudise et. al. [14] using ultra high purity argon, at a flow rate of 0.5 ml/min. The source temperature was ramped slowly over a time period varying between 6 hours to two days, to the melting point 317 C. At the end of each run, the single crystals were visually examined for low mosaic spread by microscopy in cross-polarizers and the best crystals were recycled three times to further improve purity and crystallinity.FETs were fabricated in a manner similar to our previous work using colloidal graphite source-drain contacts, parylene gate barriers, and silver-paste gate electrodes [9, 11]. Measurements were also performed as in our previous work [9, 11]. The leakage current between the gate electrode and ground was ~10-14-10-13A over most of the gate-source voltage (V gs) range, and never exceeded 3×10-12A. Heat sinking of the leads in the cryostat was achieved by either mechanical clamping to a cooled sapphire block in a vacuum of 10-5 Torr or with 10 Torr pressure 4He exchange gas. Additional thermal sinking is achieved by the three Au leads (2 cm by 50 µm). Thermal cooling between the sample and gate is limited by the parylene layer (100µm × 100µm) and is greater than 10-4 W/K, assuming a parylene thermal conductivity similar to other polymers (≥ 0.01 W/(Km) [15]). At the highest power generated in our sample, we estimate temperature measurement error should not exceed ~2 K at the lowest temperatures. We emphasize that the above considerations address the rubrene lattice temperature and can only be used to bound an estimate of possible hot electron effects, to be discussed below.Fig.1 demonstrates hole-injecting FET current-voltage (I-V) characteristics for a representative device (#6) at both 300K and at 10K. We find at 300K, linearbehavior at small drain-source voltage (V ds) followed by saturation at higher V ds, behavior similar to that seen by other workers [12, 13]. (Sample geometries and room temperature mobilities for our devices are listed in Table 1.) We also find FET-like behavior at 10K in most samples. This behavior is qualitatively different in detail from that at 300K. In particular, the low-V ds behavior demonstrates a voltage threshold and does not fit the usual transport models, e.g. I d varying as V (ohmic), V2 (space charge limited current), or exp(V1/2) (Frenkel-Poole emission). We suspect that the strong super-linear dependence of I d on V ds (< V gs) is due to a combination of 1) contact barrier energy distribution, and 2) V ds-stimulated shallow trap emission. It is also important to account for the role of contact potentials [3, 16] in the high-voltage charge transport. Previous work on rubrene FETs demonstrates that four- and two-terminal measurements yield similar results [8]. In the present experiments, both at 300K and 10K (fig. 1), the dependence of I d on V gs is much stronger than on V ds in the high-voltage limit. This behavior, and the effective saturation of I d on V ds at V ds≥V gs implies that contact potentials do not dominate high voltage transport.Activation energy measurements performed at different values of V gs, as shown in fig. 2, probe the density of deep traps as the Fermi level approaches the valence band [9, 11]. For instance, a gate voltage of –50V decreases E a from ~0.15 eV to 25 meV in the temperature range 270-120K. This latter value of E a is almost 3 times less than reported for rubrene in the temperature range 180-100 K [12] and almost 6 times less than in our pentacene FETs [9]. A smaller E a implies a significantly lower density of deep charge traps in these crystals, and subsequent higher channel conductivity at low temperatures, compared to previous published studies. We associate this higher conductivity with higher purity of our starting materials for crystal growth.In Fig.3, we show I d versus temperature for three different rubrene FETs with data extending to lower temperatures than shown in fig. 2. Different values for E a can result from differences in processing and associated differences in trap densities within the first monolayer of rubrene at the interface to the gate barrier. A common feature to all devices, however, is a marked change in activation energy from E a ~ 25-53 meV, to E a ~ 2-5 meV at temperatures below 50K. Such behavior is often seen in small band gap systems, such as SmB6 and FeSi, and arises from shallow states which become observable after the majority conduction band becomes thermally depopulated [17, 18]. In our devices, parametric gate bias control allows us to probe such states by varying charge density while keeping temperature fixed, as we discuss next.Fig. 4 shows the low temperature dependence of the channel resistivity of rubrene FET device #2 calculated from the measured I d under the assumption of 1 nm channel depth [19] at different values of V gs for V ds = -125V. (Similar data were obtained for two other samples). These data clearly demonstrate gate-electric-field-induced crossover from thermally activated to nearly temperature independent transport as the hole Fermi energy moves toward the valence band [9, 11]. As discussed above, the temperature dependence of the channel resistance at the low-V gs observed in fig. 4 (inset) is due to a modificationof the density of trap states available for thermal excitation. Then, for increasing V gs above ~ -80V, E a falls below the thermal energy, and activated behavior is lost. Indeed, for the high voltages, the resistivity between 2K and 30K cannot be fit with a single E a value.The differential number of injected holes per unit area is given by dN = dV gs C/e, where C is the capacitance per unit areaof the gate-insulator and e is the elementary charge. Therefore the effective hole mobility (µeff) is a function ofV gs and can be calculated from the measured I d(V gs) dependence (see inset of the fig.1): µeff = (dI d/dV gs)Ld par/(ZV dsεε0). Here L is drain-source contact separation, d par is parylene thickness, Z is the contact width, ε = 2.65 is the parylene dielectric constant, and ε0 is the permittivity in vacuum. The mobility calculated for device #6 (fig.4, inset) displays three different regimes of the dependence of µeff on V gs: 1) subthreshold behavior below 35V; 2) exponential increase of thermally activated carriers for 35V < V gs < 65V and; 3) , above 65V, with m ~ 6.5. (Two other samples exhibited this behavior). We discuss this third region below.mgseffV∝µWe consider, below, two distinct transport scenarios that might explain the behavior at low temperature and high voltage. In the first scenario, we assume that all holes injected at the highest values of V gs are in the valence band and free. Under this assumption, one can consider the weak temperature dependence in fig.4 as an approach to degeneracy. The density of free holesin the active channel is thus the differential amount injected by the gate electrode between -86 and -126V. We find the areal density of free holes of ~ 5 × 1011 cm-2. Assuming a channel depth of 1 nm [19], the volume density of free holes is thus 5 × 1018 cm-3 (the rubrene molecular density is 1.5 × 1021 cm-3). The behavior of two-dimensional (2D) fermion gases in high-µ Si MOSFETs is known to be metallic-like (dρ/dT > 0) at these areal densities. However, such behavior is only observed for µ> 4 × 104 cm2/Vs [20], whereas for µeff ~ 0.5 (the highest value obtained here - fig. 4 (inset)), metallic behavior has never been observed. Both insulator-metal (Ioffe-Regel)[21, 22] and insulator-superconductor[23] transition 2D criteria also classify our system as non-†On leave from Ioffe Physical Technical Institute, Russian Academy of Science, Russiametallic. Even if our present system were three-dimensional, the observed value of µeff is too small for metallicity. In bulk P-doped silicon, for example, the limiting low temperature mobility is about 100 cm 2/Vs at the density where the system crosses over from a semiconductor to a degenerate gas [24]. Therefore, it is difficult to reconcile an assumption of free holes with the observed low µeff .References[1] P. Peumans, S. Uchida and S. R. Forrest, Nature 425, 158 (2003). [2] A. R. Volkel, R. A. Street and D. Knipp, Physical Review B 66, 195336 (2002). The second scenario incorporates the breakdown of the thermal activation model and a low µeff at low temperatures and invokes V ds -induced trap emission followed by hopping, quantum tunneling, or hot electron transport. In general, trapped holes can hop or tunnel in real space between traps. The high-V ds fields of ~104 V/cm are most likely large enough to generate emission from trap states that are active at the low temperatures, and both hopping and tunneling can be activated by the shift of the Fermi level to the valence band. Both tunneling and hopping in the gap require a small average distance between traps and therefore a high density of trap states, as is typically observed in molecular compounds in proximity to the valence band [2]. An estimate of this distance obtained from the density of trapped injected carriers in our systems is ~10 nm, making such a mechanism unlikely at present carrier densities. On the other hand, trapped holes can be accelerated into the valence band, providing hot carrier transport between trapping events. Assuming again a 10 nm trap separation, one finds final kinetic energies of order 100K before trapping events. The central question that remains concerns the nature of trap states from which emission occurs. These states pin the Fermi level at low temperatures and the presently accessible voltages. The rapid mobility increase with V gs suggests that such pinning is due to a sharp rise in the density of states near a mobility edge [25].[3] I. H. Campbell and D. L. Smith, Solid State Physics 55, 1 (2001). [4] S. F. Nelson, Y. Y. Lin, D. J. Gundlach, et al., Applied physics letters 72, 1854 (1998). [5] C. Joachim, J. K. Gimzewski and A. Aviram, Nature 408, 541 (2000). [6] A. Nitzan and M. A. Ratner, Science 300, 1384 (2003). [7] W. Warta and N. Karl, Physical Review B 32, 1172 (1985). [8] V. Podzorov, V. M. Pudalov and M. E.Gershenson, Applied physics letters 82, 1739 (2003). [9] V. Y. Butko, X. Chi, D. V. Lang, et al., Appl. Phys. Lett. 83, 4773 (2003).[10] R. W. I. d. Boer, T. M. Klapwijk and A. F. Morpurgo, Appl. Phys. Lett. 83, 4345 (2003).[11] V. Y. Butko, X. Chi and A. P. Ramirez, Solid State Communications 128, 431 (2003).[12] V. Podzorov, E. Menard, A. Borissov, et al., cond-mat/0403575 (2004).[13] R. W. I. d. Boer, M. E. Gershenson, A. F. Morpurgo, et al., cond-mat/0404100 (2004).[14] R. A. Laudise, C. Kloc, P. G. Simpkins, et al., Journal of crystal growth 187, 449 (1998).[15] D. T. Morelli, J. Heremans, M. Sakamoto, et al., Phys. Rev. Lett. 57, 869 (1986).In conclusion, we have shown that lowtemperature charge transport in a rubrene FET exhibits temperature dependence that crosses over from activated at high temperature to almost temperature-independent at 10K. The electric field dependence at the lowest temperatures suggests that trapping dominates charge transport within a hot-electron framework. Further work is needed in improving crystal purity to reduce trap density, and in improving the gate dielectric to increase injected charge density.[16] A. Kahn, N. Koch and W. Y. Gao, Journal of Polymer Science, Part B (Polymer Physics) 41, 2529 (2003).[17] J. C. Cooley, M. C. Aronson, Z. Fisk, et al., Physical Review Letters 74, 1629 (1995).[18] S. Paschen, E. Felder, M. A. Chernikov, et al., Phys. Rev. B 56, 12916 (1997).[19] G. Horowitz, Advanced Functional Materials 13, 53 (2003).[20] E. Abrahams, S. V. Kravchenko and M. P. Sarachik, Reviews of modern physics 73, 251 (2001). We are especially grateful to D. Lang for severaluseful discussions. We also acknowledge helpful discussions with C. M. Varma, R. de Picciotto, C. Kloc, X. Chi, X. Gao, S. Trugman and G. Lawes. We acknowledge support from the Laboratory Directed Research and Development Program at Los Alamos National Laboratory and by the DOE Office of Basic Energy Science. [21] A. F. Ioffe and A. R. Regel, Prog. Semicond. 4, 237 (1960).[22] M. R. Graham, C. J. Adkins, H. Behar, et al., Journal of Physics: Condensed Matter 10, 809 (1998). [23] D. B. Haviland, Y. Liu and A. M. Goldman, Physical Review Letters 62, 2180 (1989).[24]G. L. Pearson and J. Bardeen, Phys. Rev. B 75, 865 (1949). [25] D. V. Lang, X. Chi, T. Siegrist, et al., cond-mat/0312722 (2003).Table 1.Sample L(µm) ±20% Z(µm)±20%d par(µm)±25%µ(cm2/Vs)±30%#1 170 200 0.8 5#2 100 110 1.25 6#4 150 160 0.5 12 (285 K) #6 100 150 1.25 5#12 100 100 1 2.5 #18 150 250 0.8 3 Figure 1. The main parts show room temperature characteristics of the same rubrene single crystal FET at 300 K and 10 K. In the inset to fig. 1(a) is plotted I d versus V gs for the sample #4 with V ds = -51 V, # 6 with V ds = -61 V and # 2 with V ds = -61 V. In the inset to fig.1(b) is plotted I d versus V gs for the sample #12 with V ds = -85 V, # 6 with V ds = -130 V and # 18 with V ds = -70 V. Figure 2. Drain current temperature dependence of rubrene single crystal FET at different gate-source voltages. Inset: Dependence of the thermal activation energy onV gs.Figure 3. Drain current temperature dependence in 3 different rubrene single crystal FETs.Figure 4. Resistivity temperature dependence of rubrene single crystal FET #2 at different gate-source voltages in temperaturerange 30K-2K. In the inset dependence of the mobility on V gs in the sample #6 at 10 K is shown.01x10-52x10-501x10-62x10-6- D r a i n c u r r e n t (A )-V (V)- V ds (V)- D r a i n c u r r e n t (A )0.0020.0040.0060.00810-1110-910-710-510-31/Temperature (1/K)- D r a i n c u r r e n t (A )Figure 2.0.000.020.040.060.080.1010-1010-810-61/Temperature (1/K)- D r a i n c u r r e n t (A )Figure 3.5101520253010-710-3101105109Temperature (K)R e s i s t i v i t y (Ωc m )Figure 1.Figure 4.。

相关文档
最新文档